Documentation CRAY Documentation CRAY Manuel Utilisateur User's guide
ou juste avant la balise de fermeture -->

 

 

 CD ROM Annuaire d'Entreprises France prospect (avec ou sans emails) : REMISE DE 10 % Avec le code réduction AUDEN872

10% de réduction sur vos envois d'emailing --> CLIQUEZ ICI

Retour à l'accueil, cliquez ici

ou juste avant la balise de fermeture -->

barrier 
Product: Cray XMT

In code, a barrier is used after a phase. The barrier delays the streams that were executing parallel operations in the phase until all the streams from the phase reach the barrier. Once all the streams reach the barrier, the streams begin work on the next phase.

blade 
Product: Cray XMT

1) A field-replaceable physical entity. A Cray XMT service blade consists of AMD Opteron sockets, memory, Cray SeaStar chips, PCI-X or PCIe cards, and a blade control processor. A Cray XMT compute blade consists of Threadstorm processors, memory, Cray SeaStar chips, and a blade control processor. 2) From a system management perspective, a logical grouping of nodes and blade control processor that monitors the nodes on that blade.

blade control processor 
Product: Cray X2, Cray XMT, Cray XT series, Cray XE series

A microprocessor on a blade that communicates with a cabinet control processor through the HSS network to monitor and control the nodes on the blade. See also bladeL0 controllerHardware Supervisory System (HSS).

block scheduling 
Product: Cray XMT

Method of thread execution used by the compiler where contiguous blocks of loop iterations are divided equally and assigned to available streams. For example, if there are 100 loop iterations and 10 streams, the compiler assigns 10 iterations to each stream. The advantage to this method is that data in registers can be reused across adjacent iterations rather than releasing a stream after each iteration.

cabinet control processor 
Product: Cray X2, Cray XE series, Cray XMT, Cray XT series

A microprocessor in the cabinet that communicates with the HSS via the HSS network to monitor and control the devices in a system cabinet. See also Hardware Supervisory System (HSS).

cage 
Product: Cray XMT

A chassis on a Cray XMT series system. See chassis.

chassis 
Product: Cray XMT

The hardware component of a Cray XMT cabinet that houses blades. Each cabinet contains three vertically stacked chassis, and each chassis contains eight vertically mounted blades. See also cage.

Cray SeaStar chip 
Product: Cray XMT

The component of the system interconnection network that provides message routing and communication services. See also system interconnection network.

dependence analysis 
Product: Cray XMT

A technique used by the compiler to determine if any iteration of a loop depends on any other iteration (this is known as a loop-carried dependency).

dynamic scheduling 
Product: Cray XMT

In a dynamic schedule, the compiler does not bind iterations to streams at loop startup. Instead, streams compete for each iteration using a shared counter.

future 
Product: Cray XMT

Implements user-specified or explicit parallelism by starting new threads. A future is a sequence of code that can be executed by a newly created thread that is running concurrently with other threads in the program. Futures delay the execution of code if the code is using a value that is computed by a future, until the future completes. The thread that spawns the future uses parameters to pass information from the future to the waiting thread, which then executes. In a program, the term future is used as a type qualifier for a synchronization variable or as a keyword for a future statement.

induction variable 
Product: Cray XMT

A variable that is increased or decreased by a fixed amount on each iteration of a loop.

inductive loop 
Product: Cray XMT

An inductive loop is one which contains no loop-carried dependencies and has the following characteristics: a single entrance at the top of the loop; controlled by an induction variable; and has a single exit that is controlled by comparing the induction variable against an invariant.

interleaved scheduling 
Product: Cray XMT

Method of executing loop iterations used by the compiler where contiguous iterations are assigned to distinct streams. For example, for a loop with 100 iterations and 10 streams, one stream performs iterations 1, 11, 21,... while another stream performs iterations 2, 12, 22, ..., and so on. This method is typically used for triangular loops because it reduces imbalances. One disadvantage to using this method is that there is loss of data reuse between loop iterations because the stream is released at the end of the iteration.

L0 processor 
Product: Cray XMT

See blade control processor.

linear recurrence 
Product: Cray XMT

A special type of recurrence that can be parallelized.

logical machine 
Product: Cray XMT

An administrator-defined portion of a physical Cray XMT system, operating as an independent computing resource.

loop-carried dependences 
Product: Cray XMT

The value from one iteration of a loop is used during a subsequent iteration of the loop. This type of loop cannot be parallelized by the compiler.

multicore 
Product: Cascade, Cray X2, Cray XMT, Cray XT series

A processor that combines multiple independent execution engines ("cores"), each with its own cache and cache controller.

multiprocessor mode 
Product: Cray XMT

A mode that can be set at compile time that ensures that when the compiled application is run, iterations of a loop are run on multiple processors.

node 
Product: Cray XT series, Cray XMT, Cray XE series, Cray X2

For CLE systems, the logical group of processor(s), memory, and network components that acts as a network end point on the system interconnection network.

phase 
Product: Cray XMT

A set of one or more sections of code that the stream executes in parallel. Each section contains an iteration of a loop. Phases and sections are contained in control flow code generated by the compiler to control the parallel execution of a function.

recurrence 
Product: Cray XMT

A recurrence occurs when a loop uses values computed in one iteration in subsequent iterations. These subsequent uses of the value imply loop-carried dependences and thus usually prevent parallelization. To increase parallelization, use linear recurrence.

reduction 
Product: Cray XMT

A simple form of recurrence that reduces a large amount of data to a single value. It is commonly used to find the minimum and maximum elements of a vector. Although similar to a reduction, it is easier to parallelize and uses less memory.

region 
Product: Cray XMT

A region is an area in code where threads are forked in order to perform a parallel operation. The region ends at the point where the threads join back together at the end of the parallel operation.

service node 
Product: Cray XMT

Performs support functions for applications and system services such as login, network, I/O, boot, and service database (SDB). Service nodes run a version of CLE.

single-processor mode 
Product: Cray XMT

A mode that can be set at compile time that ensures that when the compiled application is run, iterations of a loop are run on a single processor.

Source : 

http://docs.cray.com/cgi-bin/craydoc.cgi?mode=Glossary;q=product%3dxmt

 

Knowledge Base

http://docs.cray.com/kbase/plat.html

Accéder au manuel utilisateur

Overview of Gemini Hardware Counters

http://docs.cray.com/books/S-0025-10//S-0025-10.pdf

Accéder au manuel utilisateur

TotalView

New Feature http://docs.cray.com/books/S-6503-65/S-6503-65.pdf

Accéder au manuel utilisateur

PGI® User’s Guide Parallel Fortran, C and C++ for Scientists and Engineers : http://docs.cray.com/books/S-6516-71/S-6516-71-apr08.pdf

Accéder au manuel utilisateur

About the guide :

http://docs.cray.com/books/004-2182-003/03preface.pdf Scienti?c Libraries User’s Guide 004–2151–002

http://docs.cray.com/books/004-2151-002//004-2151-002-manual.pdf PGI ® User’s Guide Parallel Fortran, C and C++ for Scientists and Engineer http://docs.cray.com/books/S-6516-61/pgi61ug.pdf PGI® User’s Guide Parallel Fortran, C and C++ for Scientists and Engineers http://docs.cray.com/books/S-6516-70/S-6516-70-mar07.pdf PAPI USER’S GUIDE http://docs.cray.com/books/S-6515-35/S-6515-35.pdf SuperLU Users' Guide James W. Demmel 1 John R. Gilbert 2 Xiaoye S. Li 3 Septemb er, 1999 Last update: October, 2003 http://docs.cray.com/books/S-6532-10/ug.pdf SuperLU Users’ Guide James W. Demmel 1 John R. Gilbert 2 Xiaoye S. Li 3 September 1999 Last update: June 2009 http://docs.cray.com/books/S-6532-20/6532-20.pdf February 2011 Programming Environments Release Announcement http://docs.cray.com/books/S-9401-1102//S-9401-1102.pdf Guide to Parallel Vector Applications 004–2182–003 http://docs.cray.com/books/004-2182-003/004-2182-003-manual.pdf CrayDoc™ Installation and Administration Guide S–2340–21 http://docs.cray.com/books/S-2340-21/S-2340-21-manual.pdf Comparing Binaries Between Cray Linux Environment (CLE) Systems, Standalone Whiteboxes, and ESLogin Nodes http://docs.cray.com/books/S-0019-10//S-0019-10.pdf Cray Application Developer's Environment User's Guid http://docs.cray.com/books/S-2396-601/S-2396-601.pdf Cray Application Developer's Environment User's Guide http://docs.cray.com/books/S-2396-60/S-2396-60.pdf Cray Application Developer's Environment User's Guid http://docs.cray.com/books/S-2396-50/S-2396-50.pdf AMD Core Math Library (ACML) Version 4.3.0 http://docs.cray.com/books/S-6511-43/S-6511-43.pdf AMD Core Math Library (ACML) Version 4.0.0 http://docs.cray.com/books/S-6511-40/acml_400_userguide.pdf Cray Fortran Reference Manual

http://docs.cray.com/books/S-3901-80/S-3901-80.pdf Cray C and C++ Reference Manual

http://docs.cray.com/books/S-2179-80/S-2179-80.pdf Lustre File System Operations Manual - Version 1.8 http://docs.cray.com/books/S-6540-1815/S-6540-1815.pdf Cray Linux Environment™ (CLE) 4.0 Software Release Overvie

http://docs.cray.com/books/S-2425-40/S-2425-40.pdf Cray XT™ System Overview : http://docs.cray.com/books/S-2423-22/S-2423-22.pdf Cray X1™ Series System Overview S–2346–25 http://docs.cray.com/books/S-2346-25/S-2346-25.pdf Migrating Applications to the Cray X1™ Series Systems S–2378–54 http://docs.cray.com/books/S-2378-54/S-2378-54.pdf intro_biolib(3) http://docs.cray.com/cgi-bin/craydoc.cgi?idx=man_search;q=id%3dintro_biolib.3;mode=Show;f=man/biolibm/30/cat3/intro_biolib.3.html Getting Started on Cray X2™ Systems S–2471–60 : http://docs.cray.com/books/S-2471-60/S-2471-60.pdf

Cray XT5h ™ System Overview S–2472–21 : http://docs.cray.com/books/S-2472-21/S-2472-21.pdf Cray® Programming Environment 6.0 Releases Overview and Installation Guide S–5212–60 http://docs.cray.com/books/S-5212-60/S-5212-60.pdf Cray® Fortran Reference Manual S–3901–60

http://docs.cray.com/books/S-3901-60/S-3901-60.pdf Cray® C and C++ Reference Manual S–2179–60 :

http://docs.cray.com/books/S-2179-60/S-2179-60.pdf Cray Performance Analysis Tools 5.3 Release Overview and Installation Guid

http://docs.cray.com/books/S-2474-53/S-2474-53.pdf Cray XMT™ System Overview http://docs.cray.com/books/S-2466-20/S-2466-20.pdf Cray XMT™ Programming Environment User's Guide http://docs.cray.com/books/S-2479-20/S-2479-20.pdf Cray XMT™ Programming Model http://docs.cray.com/books/S-2367-20/S-2367-20.pdf Cray XMT™ Debugger Reference Guid

http://docs.cray.com/books/S-2467-20/S-2467-20.pdf Cray XMT™ Performance Tools User's Guide

http://docs.cray.com/books/S-2462-20/S-2462-20.pdf Optimizing Loop-Level Parallelism in Cray XMT™ Applications :

http://docs.cray.com/books/S-2487-14/S-2487-14.pdf Limiting Loop Parallelism in Cray XMT™ Applications June 21, 2010 http://docs.cray.com/books/S-0027-14/S-0027-14.pdf Cray DVS Installation and Configuration Private S–0005–10 http://docs.cray.com/books/S-0005-10//S-0005-10.pdf Application Cleanup by ALPS and Node Health Monitoring : http://docs.cray.com/books/S-0014-22/S-0014-22.pdf Application Programmer’s I/O Guide S–3695–36 : http://docs.cray.com/books/S-3695-36/S-3695-36-manual.pdf Overview of Gemini Hardware Counters This document describes the Gemini Performance Counters and how to use them to optimize individual applications and system traf?c. Send e-mail to docs@cray.com with any comments that will help us to improve the accuracy and usability of this document. Be sure to include the title and number of the document with your comments. We value your comments and will respond to them promptly. Accessing network performance counters is desirable for application developers, system library developers (e.g. MPI), and system administrators. Application developers want to improve their application run-times or measure what affect other traf?c on the system has on their application. System library developers want to optimize their collective operations. System Administrators want to observe the system, looking for hotspots. Effective with the CrayPat (Cray performance analysis tool) version 5.1 and Cray Linux Environment (CLE) version 3.1 software releases for the Cray XE platform, users can monitor many of the performance counters that reside on the Gemini networking chip. There are two categories of Gemini performance counters available to users. NIC performance counters record information about the data moving through theNetwork Interface Controller (NIC). On the Gemini ASIC there are two NICs, each attached to a compute node. Thus, the data from the NIC performance counters re?ects network transfers beginning and ending on the node. These performance counters are read-only. Network router tile counters are available on a per-Gemini basis. There are both read-only and read/write tile counters. Each chip has 48 router tiles, arranged in a 6x8 grid. Eight processor tiles connect to each of the two Gemini NICs. Each NIC connects to a different node, running separate Linux instances. If collection at other points of the application is desired, use the CrayPat API to insert regions as described in the pat_build man page. It is recommended that you do not collect any other performance data when collecting network counters. Data collection of network counters is much more expensive than other performance data collection, and will skew other results. At the time the instrumented executable program is launched with the aprun command, a set of environment variables, PAT_RT_NWPC_*, provide access to the Gemini network performance counters. These environment variables are described in the intro_craypat man page. S–0025–10 1Using the Cray Gemini Hardware Counters 1.1 Using CrayPat to Monitor Gemini Counters The CrayPat utility pat_build instruments an executable ?le. One aspect of the instrumentation includes intercepting entries into and returns out of a function. This is known formally as tracing. Information such as time stamps and performance counter values are recorded at this time. CrayPat supports instrumentation of an application binary for collection of Gemini counters. Counter values are recorded at application runtime, and are presented to the user through a table generated by pat_report. The CrayPat user interface to request instrumentation is similar to that for processor performance counters. There is no Gemini counter display available in Cray Apprentice2 at this time. A new display will be available in a subsequent release of the Cray Apprentice2 software. Although the user interface to request network counters is similar to processor counters, there are some signi?cant differences that must be understood. Depending on the type of counters requested, some are shared across all processors within a node, some are shared between two nodes and some are shared across all applications passing through a chip. Some counters monitor all traf?c for your application, even on nodes that are not reserved for your application, and some monitor locally, that is they monitor only traf?c associated with nodes assigned to a Gemini chip and no other traf?c from the network. Users should also be aware that access to the network counters is more resource-intensive than access to the processor performance counters. Because Gemini counters are a shared resource, the system software is designed to provide dedicated access whenever possible. This is done through the Application Level Placement Scheduler (ALPS) by ensuring that an application collecting counters is not placed on the same Gemini chip as another application collecting performance counters. It does not prevent a second application from being placed on the same Gemini chip that is not collecting counters however. This compromise assures better system utilization because compute nodes are not left unavailable for use by another application. The CrayPat 5.1 release focuses on the use of the NIC and ORB counters available within the Gemini chip. The values collected from these counters are local to a node and therefore speci?c to an application. Traf?c between MPI ranks cannot be distinguished through the counters. The event names that CrayPat supports are listed at the end of this document. Network counters are only collected for the MAIN thread. Values are collected at the beginning and end of the instrumented application. Instrumentation overhead is minimal. This gives a high-level view of the program's use of the networking router in terms of the counters speci?ed. Currently the time to access counter data is too expensive to collect more frequently. A future release of CLE will address these performance limitations. 2 S–0025–10Overview of Gemini Hardware Counters Before attempting the following examples verify that your system has a Gemini network: $ module list xtpe-network-gemini Attempting to collect Gemini performance counters on a system that does not have the Gemini network will result in a fatal error: $ aprun -n 16 my_program+pat CrayPat/X: Version 5.1 Revision 3329 05/20/10 11:26:16 pat[FATAL][0]: initialization of NW performance counter API failed [No such file or directory] Example 1. Collect stalls associated with node traf?c to and from the network This example enables tracing of MAIN. $ pat_build -w my_program $ export PAT_RT_NWPC=GM_ORB_PERF_VC0_STALLED,GM_ORB_PERF_VC1_STALLED $ aprun my_program+pat Example 2. Display network counter data $ pat_report my_program+pat+11171-41tdot.xf> counter_rpt Example output from pat_report: NWPC Data by Function Group and Function Group / Function / Node Id=0='HIDE' ===================================================================== Total --------------------------------------------------------------------- Time% 100.0% Time 2.476423 secs GM_ORB_PERF_VC1_STALLED 0 GM_ORB_PERF_VC1_BLOCKED 0 GM_ORB_PERF_VC1_BLOCKED_PKT_GEN 0 GM_ORB_PERF_VC1_PKTS 48 GM_ORB_PERF_VC1_FLITS 48 GM_ORB_PERF_VC0_STALLED 111 GM_ORB_PERF_VC0_PKTS 48 GM_ORB_PERF_VC0_FLITS 201 ===================================================================== S–0025–10 3Using the Cray Gemini Hardware Counters Example 3. Collect data for a custom group of network counters In this example a user creates a group of network events in a ?le called my_nwpc_groups, one called 1 and the other called CQ_AMO: $ cat my_nwpc_groups # Group 1: Outstanding Request Buffer 1 = GM_ORB_PERF_VC1_STALLED, GM_ORB_PERF_VC1_BLOCKED, GM_ORB_PERF_VC1_BLOCKED_PKT_GEN, GM_ORB_PERF_VC1_PKTS, GM_ORB_PERF_VC1_FLITS, GM_ORB_PERF_VC0_STALLED, GM_ORB_PERF_VC0_PKTS, GM_ORB_PERF_VC0_FLITS # Group CQ_AMO: CQ_AMO = GM_AMO_PERF_COUNTER_EN, GM_AMO_PERF_CQ_FLIT_CNTR, GM_AMO_PERF_CQ_PKT_CNTR, GM_AMO_PERF_CQ_STALLED_CNTR, GM_AMO_PERF_CQ_BLOCKED_CNTR $ pat_build -w my_program $ export PAT_RT_NWPC_FILE=my_nwpc_groups $ export PAT_RT_NWPC=1,CQ_AMO $ aprun -n16 my_program+pat 4 S–0025–10Overview of Gemini Hardware Counters Example output from pat_report: NWPC Data by Function Group and Function Group / Function / Node Id=0='HIDE' ===================================================================== Total --------------------------------------------------------------------- Time% 100.0% Time 2.639046 secs GM_ORB_PERF_VC1_STALLED 72525 GM_ORB_PERF_VC1_PKTS 50457 GM_AMO_PERF_COUNTER_EN 0 GM_AMO_PERF_CQ_FLIT_CNTR 11752 GM_AMO_PERF_CQ_PKT_CNTR 5876 GM_AMO_PERF_CQ_STALLED_CNTR 5092 GM_AMO_PERF_CQ_BLOCKED_CNTR 29 ===================================================================== Example 4. Suppress instrumented entry points from recording performance data to reduce overhead This example assumes a NWPC group FMAS exists and is available for use. Because the program is traced, the PAT_RT_TRACE_FUNCTION_NAME is set to suppress any data collection by already instrumented entry points in my_program+pat. This means that NWPC values will only be recorded for the MAIN thread at the start and the end of the instrumented program. Instrumentation overhead is minimal. $ pat_build -u -g mpi my_program $ export PAT_RT_NWPC=FMAS $ export PAT_RT_TRACE_FUNCITON_NAME=*:0 $ aprun -n32 my_program+pat This gives a high-level view of the program's use of the networking router in terms of what the FMAS group describes. If more details about NWPC use during execution of the program are desired, the PAT_RT_TRACE_FUNCTION_NAME environment variable need not be set, but the signi?cant overhead injected by reading the NWPCs may make the resulting performance data inaccurate. To selectively collect NWPCs and the other performance data for traced functions, add them to the end of PAT_RT_TRACE_FUNCTION_NAME: $ export PAT_RT_TRACE_FUNCTION_NAME=0:*,mxm,MPI_Bcast S–0025–10 5Using the Cray Gemini Hardware Counters 1.2 Gemini NIC Counters To better understand how to use the NIC counters, you need to understand some of the terminology speci?c to the Gemini network architecture. The Block Transfer Engine (BTE) A Gemini network packet typically consists of one or more ?its, which are the units of ?ow control for the network. Because ?its are usually larger than the physical datapath, they are divided into phits, which are the units of data that the network can handle physically. A packet must contain at least two phits, one for the header and one for the cyclical redundancy check (CRC). The V0 counters support the request channel and the V1 counters support the response channel. A ?it/pkt ratio can tell the user if the data entering the network was not aligned, eg a ratio greater than 1 indicates misaligned data is being sent across the network. Because there is a bandwidth/pipe size difference between outgoing and incoming (outgoing is smaller), in general you will notice more stalls on the V0 (request) channel. The following counters are recommended as a way to begin using the Gemini NWPC: GM_ORB_PERF_VC0_STALLED GM_ORB_PERF_VC1_STALLED GM_ORB_PERF_VC0_PKTS GM_ORB_PERF_VC1_PKTS GM_ORB_PERF_VC0_FLITS GM_ORB_PERF_VC1_FLITS Table 1. Atomic Memory Operations Performance Counters Name Description GM_AMO_PERF_ACP_COMP_CNTR Number of Atomic Memory Operation (AMO) computations that have occurred. GM_AMO_PERF_ACP_MEM_UPDATE_CNTR Number of AMO logic cache write-throughs that have occurred. GM_AMO_PERF_ACP_STALL_CNTR Number of AMO logic pipeline stalls that have occurred. GM_AMO_PERF_AMO_HEADER_CNTR Number of request headers processed by the Decode Logic that have had an AMO computation. Error packets are not counted. GM_AMO_PERF_COUNTER_EN When set, counting is enabled. When cleared, counting is disabled. GM_AMO_PERF_CQ_BLOCKED_CNTR Number of cycles the CQ FIFO is blocked. 6 S–0025–10Overview of Gemini Hardware Counters Name Description GM_AMO_PERF_CQ_FLIT_CNTR Number of ?its (network ?ow control units) that are read from the CQ FIFO. GM_AMO_PERF_CQ_PKT_CNTR Number of packets that are read from the CQ FIFO. GM_AMO_PERF_CQ_STALLED_CNTR Number of cycles the CQ FIFO is stalled. GM_AMO_PERF_DONE_INV_CNTR Number of times a valid cache entry was invalidated because there were no more outstanding AMO requests targeting it and the last request did not have the cacheable bit set. GM_AMO_PERF_ERROR_HEADER_CNTR Number of request headers processed by the Decode Logic that have had errors. GM_AMO_PERF_FLUSH_HEADER_CNTR Number of request headers processed by the Decode Logic that have had a Flush command. Error packets are not counted. GM_AMO_PERF_FULL_INV_CNTR Number of times a valid but inactive cache entry was invalidated to make room for a new AMO address. A high value in this counter indicates that there are too many cacheable AMO addresses and that the cache is being thrashed. GM_AMO_PERF_GET_HEADER_CNTR Number of request headers processed by the Decode Logic that have had an GET command. Error packets are not counted. GM_AMO_PERF_MSGCOMP_HEADER_CNTR Number of request headers processed by the Decode Logic that have had a MsgComplete command. Error packets are not counted. GM_AMO_PERF_PUT_HEADER_CNTR Number of request headers processed by the Decode Logic that have had an PUT command. Error packets are not counted. GM_AMO_PERF_REQLIST_FULL_STALL_CNTR Number of times an AMO request causes the NRP to stall waiting for a Request List entry to become free. GM_AMO_PERF_RMT_BLOCKED_CNTR Number cycles the RMT FIFO is blocked GM_AMO_PERF_RMT_FLIT_CNTR Number of ?its that are read from the RMT FIFO GM_AMO_PERF_RMT_PKT_CNTR Number of packets that are read from the RMT FIFO GM_AMO_PERF_RMT_STALLED_CNTR Number cycles the RMT FIFO is stalled S–0025–10 7Using the Cray Gemini Hardware Counters Name Description GM_AMO_PERF_TAG_HIT_CNTR Number of AMO requests that have been processed in the Tag Store and have resulted in a cache hit. GM_AMO_PERF_TAG_MISS_CNTR Number of AMO requests that have been processed in the Tag Store and have resulted in a cache miss. GM_AMO_PERF_TAG_STALL_CNTR Number of times a GET/PUT request hits in the cache and causes the NRP to stall. Table 2. Fast Memory Access Performance Counters Name Description GM_FMA_PERF_CQ_PKT_CNT Number of packets from Fast Memory Access (FMA) to CQ. GM_FMA_PERF_CQ_STALLED_CNT Number of clock cycles FMA_CQ was stalled due to lack of credits. GM_FMA_PERF_HT_NP_REQ_FLIT_CNT Number of HT NP request ?its to FMA. GM_FMA_PERF_HT_NP_REQ_PKT_CNT Number of HT NP request packets to FMA. GM_FMA_PERF_HT_P_REQ_FLIT_CNT Number of HT P request ?its to FMA. GM_FMA_PERF_HT_P_REQ_PKT_CNT Number of HT P request packets to FMA. GM_FMA_PERF_HT_RSP_PKT_CNT Number of HT response packets from FMA to HT. GM_FMA_PERF_HT_RSP_STALLED_CNT Number of clock cycles FMA_HT_RSP was stalled due to lack of credits. GM_FMA_PERF_TARB_FLIT_CNT Number of ?its from FMA to TARB. GM_FMA_PERF_TARB_PKT_CNT Number of packets from FMA to TARB. GM_FMA_PERF_TARB_STALLED_CNT Number of clock cycles FMA_TARB was stalled due to lack of credits. 8 S–0025–10Overview of Gemini Hardware Counters Table 3. Hyper-transport Arbiter Performance Counters Name Description GM_HARB_PERF_AMO_NP_BLOCKED Number of times AMO Non-Posted Queue has an entry, but is blocked from using the Non-Posted Initiator Request output channel by the BTE Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_NP_FLITS Number of ?its coming out of the AMO Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_NP_PKTS Number of packets coming out of the AMO Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_NP_STALLED Number of cycles the AMO Non-Posted Queue is stalled due to a lack credits on the Non-Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_ACP_BLOCKED Number of times AMO Posted AMO Computation Pipe Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_ACP_FLITS Number of ?its coming out of the AMO Posted AMO Computation Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). S–0025–10 9Using the Cray Gemini Hardware Counters Name Description GM_HARB_PERF_AMO_P_ACP_PKTS Number of packets coming out of the AMO Posted AMO Computation Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_ACP_STALLED Number of cycles the AMO Posted AMO Computation Pipe Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_BLOCKED Number of times AMO Posted New Request Pipe Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_FLITS Number of ?its coming out of the AMO Posted New Request Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_PKTS Number of packets coming out of the AMO Posted New Request Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_STALLED Number of cycles the AMO Posted New Request Pipe Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). 10 S–0025–10Overview of Gemini Hardware Counters Name Description GM_HARB_PERF_BTE_NP_BLOCKED Number of times AMO Non-Posted BTE Queue has an entry, but is blocked from using the Non-Posted Initiator Request output channel by another Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_NP_FLITS Number of ?its coming out of the AMO Non-Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_NP_PKTS Number of packets coming out of the AMO Non-Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_NP_STALLED Number of cycles the AMO Non-Posted BTE Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_P_BLOCKED Number of times AMO Posted BTE Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_P_FLITS Number of ?its coming out of the AMO Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). S–0025–10 11Using the Cray Gemini Hardware Counters Name Description GM_HARB_PERF_BTE_P_PKTS Number of packets coming out of the AMO Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_P_STALLED Number of cycles the AMO Posted BTE Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_COUNTER_EN When set, counting is enabled. When clear, counting is disabled. This MMR is reset by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_NP_FLITS Number of ?its on the non-posted initiator request output of the HARB block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_NP_PKTS Number of packets on the non-posted initiator request output of the HARB Block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_NP_STALLED Number of cycles on the non-posted initiator request output of the HARB is stalled due to a lack credits on the Non-Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). 12 S–0025–10Overview of Gemini Hardware Counters Name Description GM_HARB_PERF_IREQ_P_FLITS Number of ?its on the posted initiator request output of the HARB block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_P_PKTS Number of packets on the posted initiator request output of the HARB Block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_P_STALLED Number of cycles on the posted initiator request output of the HARB is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_RAT_P_BLOCKED Number of times AMO Posted RAT Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_RAT_P_FLITS Number of ?its coming out of the AMO Posted RAT Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). S–0025–10 13Using the Cray Gemini Hardware Counters Name Description GM_HARB_PERF_RAT_P_PKTS Number of packets coming out of the AMO Posted RAT Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_RAT_P_STALLED Number of cycles the AMO Posted RAT Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). Table 4. Network Address Translation Performance Counters Name Description GM_NAT_PERF_BTE_BLOCKED Number of cycles a BTE translation is blocked due to arbitration loss. GM_NAT_PERF_BTE_STALLED Number of cycles a BTE translation is stalled due to MMR access. GM_NAT_PERF_BTE_TRANSLATIONS Number of translations performed for the BTE interface. GM_NAT_PERF_COUNTER_EN When set, counting is enabled. When cleared, counting is disabled. GM_NAT_PERF_REQ_BLOCKED Number of cycles a REQ translation is blocked due to arbitration loss. GM_NAT_PERF_REQ_STALLED Number of cycles a REQ translation is stalled due to MMR access. GM_NAT_PERF_REQ_TRANSLATIONS Number of translations performed for the REQ interface. GM_NAT_PERF_RSP_BLOCKED Number of cycles a RSP translation is blocked due to arbitration loss. GM_NAT_PERF_RSP_STALLED Number of cycles a RSP translation is stalled due to MMR access. GM_NAT_PERF_RSP_TRANSLATIONS Number of translations performed for the RSP interface. GM_NAT_PERF_TRANS_ERROR0 Number of translations that failed due to error 0 (Uncorrectable error in translation). 14 S–0025–10Overview of Gemini Hardware Counters Name Description GM_NAT_PERF_TRANS_ERROR1 Number of translations that failed due to error 1 (VMDH table invalid entry). GM_NAT_PERF_TRANS_ERROR2 Number of translations that failed due to error 2 (MDDT/MRT invalid or illegal entry). GM_NAT_PERF_TRANS_ERROR3 Number of translations that failed due to error 3 (Protection tag violation). GM_NAT_PERF_TRANS_ERROR4 Number of translations that failed due to error 4 (memory bounds error). GM_NAT_PERF_TRANS_ERROR5 Number of translations that failed due to error 5 (write permission error) Table 5. Netlink Performance Counters Name Description GM_NL_PERF_ALL_LCBS_REQS_TO_NIC_0_STALLED Number of ticks all LCBs requests have stalled to NIC 0. GM_NL_PERF_ALL_LCBS_REQS_TO_NIC_1_STALLED Number of ticks all LCBs requests have stalled to NIC 1. GM_NL_PERF_ALL_LCBS_RSP_TO_NIC_0_STALLED Number of ticks all LCBs responses have stalled to NIC 0. GM_NL_PERF_ALL_LCBS_RSP_TO_NIC_1_STALLED Number of ticks all LCBs responses have stalled to NIC 1. GM_NL_PERF_CNTRL Controls the performance counters. Writing a 1 to the Start ?eld starts the counters. Writing a 1 to the Stop ?eld stops the counters. Writing a 1 to the Clear ?eld clears the counters. GM_NL_PERF_LCB_n_REQ_CMP_22 Decompressed request data to two phit LCB_n, where n is a value from 0 to 7 that speci?es the LCB. GM_NL_PERF_LCB_n_REQ_CMP_44 Decompressed request data to one phit LCB_n, where n is a value from 0 to 7 that speci?es the LCB. GM_NL_PERF_LCB_n_REQ_TO_NIC_0 Number of requests from LCB_n to NIC 0. GM_NL_PERF_LCB_n_REQ_TO_NIC_0_STALLED Number of ticks LCB_n requests are blocked to NIC 0. GM_NL_PERF_LCB_n_REQ_TO_NIC_1 Number of requests from LCB_n to NIC 1. S–0025–10 15Using the Cray Gemini Hardware Counters Name Description GM_NL_PERF_LCB_n_REQ_TO_NIC_1_STALLED Number of ticks LCB_n requests are blocked to NIC 1. GM_NL_PERF_LCB_n_REQ_TO_PHITS Number of request phits received on LCB_n. GM_NL_PERF_LCB_n_REQ_TO_PKTS Number of request packets received on LCB_n. GM_NL_PERF_LCB_n_RSP_CMP_22 Decompressed response data to two phit LCB_n GM_NL_PERF_LCB_n_RSP_TO_NIC_1 Number of responses from LCB_n to NIC 1. GM_NL_PERF_LCB_n_RSP_TO_NIC_1_STALLED Number of ticks LCB_n responses are blocked to NIC 1. GM_NL_PERF_NIC_0_REQ_STALLED_TO_ALL_LCBS Number of ticks NIC_0 requests are blocked to all LCBs. GM_NL_PERF_NIC_0_REQ_TO_LCB_n Number of requests from NIC_0 LCB_ n. GM_NL_PERF_NIC_0_REQ_TO_LCB_n_STALLED Number of ticks NIC_0 requests are blocked to LCB_n. GM_NL_PERF_NIC_0_RSP_STALLED_TO_ALL_LCBS Number of ticks NIC_0 responses are blocked to all LCBs. GM_NL_PERF_NIC_0_RSP_TO_LCB_n Number of responses from NIC_0 LCB_ n. GM_NL_PERF_NIC_0_RSP_TO_LCB_n_STALLED Number of ticks NIC_0 responses are blocked to LCB_n. GM_NL_PERF_NIC_1_REQ_STALLED_TO_ALL_LCBS Number of ticks NIC_0 requests are blocked to all LCBs. GM_NL_PERF_NIC_1_REQ_TO_LCB_n Number of requests from NIC_1 to LCB_ n. GM_NL_PERF_NIC_1_REQ_TO_LCBn_STALLED Number of ticks NIC_1 requests are blocked to LCB_n. GM_NL_PERF_NIC_1_RSP_STALLED_TO_ALL_LCBS Number of ticks NIC_1 responses are blocked to all LCBs. GM_NL_PERF_NIC_1_RSP_TO_LCB_n Number of responses from NIC_1 LCB_ n. GM_NL_PERF_NIC_1_RSP_TO_LCB_n_STALLED Number of ticks NIC_1 responses are blocked to LCB_n. 16 S–0025–10Overview of Gemini Hardware Counters Table 6. NPT Performance Counters Name Description GM_NPT_PERF_ACP_BLOCKED_CNTR Number of cycles the ACP FIFO is blocked. GM_NPT_PERF_ACP_FLIT_CNTR Number of ?its that are read from the ACP FIFO. GM_NPT_PERF_ACP_PKT_CNTR Number of packets that are read from the ACP FIFO. GM_NPT_PERF_ACP_STALLED_CNTR Number of cycles the ACP FIFO is stalled. GM_NPT_PERF_BTE_RSP_PKT_CNTR Number of packets that are sent to the Netlink as Get or Flush responses. GM_NPT_PERF_COUNTER_EN Provides the count enable. GM_NPT_PERF_FILL_RSP_PKT_CNTR Number of packets that are sent to the AMO block as ?ll responses. GM_NPT_PERF_HTIRSP_ERR_CNTR Number of packets that are received from the HT cave and have an error status. GM_NPT_PERF_HTIRSP_FLIT_CNTR Number of ?its that are received from the HT cave. GM_NPT_PERF_HTIRSP_PKT_CNTR Number of packets that are received from the HT cave. GM_NPT_PERF_LB_BLOCKED_CNTR Number of cycles the LB FIFO is blocked. GM_NPT_PERF_LB_FLIT_CNTR Number of ?its that are read from the LB FIFO. GM_NPT_PERF_LB_PKT_CNTR Number of packets that are read from the LB FIFO. GM_NPT_PERF_LB_STALLED_CNTR Number of cycles the LB FIFO is stalled. GM_NPT_PERF_NL_RSP_PKT_CNTR Number of packets that are sent to the AMO block as ?ll responses. GM_NPT_PERF_NPT_BLOCKED_CNTR Number of cycles the NPT FIFO is blocked. GM_NPT_PERF_NPT_FLIT_CNTR Number of ?its that are read from the NPT FIFO. GM_NPT_PERF_NPT_PKT_CNTR Number of packets that are read from the NPT FIFO. GM_NPT_PERF_NPT_STALLED_CNTR Number of cycles the NPT FIFO is stalled. GM_NPT_PERF_NRP_BLOCKED_CNTR Number of cycles the NRP FIFO is blocked. GM_NPT_PERF_NRP_FLIT_CNTR Number of ?its that are read from the NRP FIFO. GM_NPT_PERF_NRP_PKT_CNTR Number of packets that are read from the NRP FIFO. GM_NPT_PERF_NRP_STALLED_CNTR Number of cycles the NRP FIFO is stalled. S–0025–10 17Using the Cray Gemini Hardware Counters Table 7. ORB Performance Counters Name Description GM_ORB_PERF_VC0_FLITS Number of ?its to come into the TX Input Queue from the SSID. GM_ORB_PERF_VC0_PKTS Number of packets to come into the TX Input Queue from the SSID. GM_ORB_PERF_VC0_STALLED Number of packets not given access to the TX Control Logic because there is not enough credits available from the NL Block, or there are no available memory locations from the ORD RAM, or a tail ?it has not been received in the ORB Input Queue when performing store-and-forward. GM_ORB_PERF_VC1_BLOCKED Number of packets not given access to the RX Control Logic because the read address and write address into the ORD RAM are attempting to access the same bank of the ORD RAM or because there is a read access to the ORD RAM from the Local Block. GM_ORB_PERF_VC1_BLOCKED_PKT_GEN Number of times the RX Response FIFO is blocked because a packet in the RX Control Logic is being translated into the format used by the rest of the NIC. GM_ORB_PERF_VC1_FLITS Number of ?its to come into the Receive Response FIFO from the network. GM_ORB_PERF_VC1_PKTS Number of packets to come into the Receive Response FIFO from the network. GM_ORB_PERF_VC1_STALLED Number of packets not given access to the RX Control Logic because there is not enough credits available from the RAT. 18 S–0025–10Overview of Gemini Hardware Counters Table 8. RAT Performance Counters Name Description GM_RAT_PERF_COUNTER_EN Enables the performance counters. GM_RAT_PERF_DATA_FLITS_VC0 Number of data ?its received on VC0 (request pipeline). GM_RAT_PERF_DATA_FLITS_VC1 Number of data ?its received on VC1 (request pipeline). GM_RAT_PERF_HEADER_FLITS_VC0 Number of header ?its received on VC0 (request pipeline). GM_RAT_PERF_HEADER_FLITS_VC1 Number of header ?its received on VC1 (request pipeline). GM_RAT_PERF_STALLED_CREDITS_VC0 Number of cycles VC0 (request pipeline) is stalled due to insuf?cient credits. GM_RAT_PERF_STALLED_CREDITS_VC1 Number of cycles VC1 (request pipeline) is stalled due to insuf?cient credits. GM_RAT_PERF_STALLED_TRANSLATION_VC0 Number of cycles VC0 (request pipeline) is stalled due to unavailable translation data. GM_RAT_PERF_STALLED_TRANSLATION_VC1 Number of cycles VC1 (request pipeline) is stalled due to unavailable translation data. GM_RAT_PERF_TRANSLATION_ERRORS_VC0 Number of translation errors seen on VC0 (request pipeline). GM_RAT_PERF_TRANSLATION_ERRORS_VC1 Number of translation errors seen on VC1 (request pipeline). GM_RAT_PERF_TRANSLATIONS_VC0 Number of translations requested on VC0 (request pipeline). GM_RAT_PERF_TRANSLATIONS_VC1 Number of translations requested on VC1 (request pipeline). S–0025–10 19Using the Cray Gemini Hardware Counters Table 9. RMT Performance Counters Name Description GM_RMT_PERF_PUT_BYTES_RX Tally of bytes received in all PUT packets that had the RMT Enable ?eld set that entered and exited the RMT with OK status. GM_RMT_PERF_PUT_CAM_EVIT PUT sequences evicted from the CAM. GM_RMT_PERF_PUT_CAM_FILL New PUT sequence packet arrived and successfully allocated in the CAM. GM_RMT_PERF_PUT_CAM_HITS Packet for PUT sequence currently stored in RMT arrived and successfully located entry in CAM. GM_RMT_PERF_PUT_CAM_MISS New PUT sequence packet arrived, but did not allocate because CAM was full. GM_RMT_PERF_PUT_PARITY Number of sequences evicted from CAM due to uncorrectable parity errors. GM_RMT_PERF_PUT_RECV_COMPLETE Number of MsgRcvComplete packets received which evicted a CAM entry. GM_RMT_PERF_PUT_TIMEOUTS Number of sequences evicted from CAM due to timeout. GM_RMT_PERF_SEND_BYTES_RX Tally of bytes received in all SEND packets that had the RMT Enable ?eld set and entered and exited the RMT with OK status. GM_RMT_PERF_SEND_CAM_EVIT SEND sequences evicted from the CAM. GM_RMT_PERF_SEND_CAM_FILL New SEND sequence packet arrived and successfully allocated in the CAM. GM_RMT_PERF_SEND_CAM_HITS Packet for SEND sequence currently stored in RMT arrived and successfully located entry in CAM. GM_RMT_PERF_SEND_CAM_MISS New SEND sequence packet arrived, but did not allocate because CAM was full. GM_RMT_PERF_SEND_PARITY Number of sequences evicted from CAM due to uncorrectable parity errors. GM_RMT_PERF_SEND_ABORTS Number of SEND sequences that were aborted. GM_RMT_PERF_SEND_TIMEOUTS Number of sequences evicted from CAM due to timeout. 20 S–0025–10Overview of Gemini Hardware Counters Table 10. SSID Performance Counters Name Description GM_SSID_PERF_COMPLETION_COUNT_1 Provides a count of completed request packet sequences. The type of sequence completions counted by this register is controlled by the SSID Performance – Completion Count Selector Register. GM_SSID_PERF_COMPLETION_COUNT_2 Provides a count of completed request packet sequences. The type of sequence completions counted by this register is controlled by the SSID Performance – Completion Count Selector Register. GM_SSID_PERF_COMPLETION_COUNT_SELECTOR Speci?es the types of completion events that are counted in the SSID Performance – Completion Count 1 Register (bits 3-0) and the SSID Performance – Completion Count 2 Register (bits 11-8). See the table of SSID_PerfCompletionCountSelect Encoding values for encoding of these ?elds. GM_SSID_PERF_OUT_STALLED_DURATION The accumulated number of cycles of cclk for which the SSID had a valid ?it available to send to the ORB but sending of the ?it had to be stalled while waiting for a credit from the ORB. This value is cleared by writing any value to this register. GM_SSID_PERF_OUTOFSSIDS_COUNT The number of Allocate SSID requests that have been received for which processing of the request had to be stalled for one or more clock cycles because a free SSID was not immediately available to service the request. This value is cleared by writing any value to this register. GM_SSID_PERF_OUTOFSSIDS_DURATION The accumulated number of cycles of cclk for which processing of Allocate SSID requests has been stalled because a free SSID is not available to service the request. This value is cleared by writing any value to this register. S–0025–10 21Using the Cray Gemini Hardware Counters Name Description GM_SSID_PERF_SSID_ALLOCATE_COUNT The total number of Allocate SSID requests that have been received, across all channels (all FMA descriptors and all BTE VCs), because this register was last cleared, and that resulted in a SSID actually being allocated. Allocate SSID requests that do not result in a SSID being allocated (i.e. redundant Allocate requests) are not counted. This value is cleared by writing any value to this register. GM_SSID_PERF_SSIDS_IN_USE Bits 7-0 specify the number of SSIDs currently in use across all Request Channels. This value is not affected by writes to this register. This ?eld is initialized to its reset value by a full reset and by an ht reset. Bits 23-16 specify the maximum number of SSIDs that have been in use simultaneously, across all channels (all FMA descriptors and all BTE Vcs), since this register was last initialized. This value is initialized to CurrentSSIDsInUse by writing any value to this register. This ?eld is initialized to its reset value by a full reset. Table 11. Transmit Arbiter Performance Counters Name Description GM_TARB_PERF_BTE_BLOCKED Transmit Arbiter (TARB) Performance BTE Blocked Count GM_TARB_PERF_BTE_FLITS TARB Performance BTE Flit Count GM_TARB_PERF_BTE_PKTS TARB Performance BTE Packet Count GM_TARB_PERF_BTE_STALLED TARB Performance BTE Stalled Count GM_TARB_PERF_FMA_BLOCKED TARB Performance FMA Blocked Count GM_TARB_PERF_FMA_FLITS TARB Performance FMA Flit Count GM_TARB_PERF_FMA_PKTS TARB Performance FMA Packet Count GM_TARB_PERF_FMA_STALLED TARB Performance FMA Stalled Count GM_TARB_PERF_LB_BLOCKED TARB Performance LB Blocked Count GM_TARB_PERF_LB_FLITS TARB Performance LB Flit Count GM_TARB_PERF_LB_PKTS TARB Performance LB Packet Count 22 S–0025–10Overview of Gemini Hardware Counters Name Description GM_TARB_PERF_LB_STALLED TARB Performance LB Stalled Count GM_TARB_PERF_OUT_FLITS TARB Performance Output Flit Count GM_TARB_PERF_OUT_PKTS TARB Performance Output Packet Count GM_TARB_PERF_OUT_STALLED TARB Performance Output Stalled Count 1.3 Gemini Tile MMRs The Gemini network consists of 48 tiles, arranged in 6 rows of 8 columns. Within each tile there are memory-mapped registers associated with the LCB and with the rest of the tile. The local block has shared connections to each row of tiles. By default, when only the name of the MMR is used, an event is counted on all 48 tiles. To address an individual tile, append the row (0-5) and column (0-7) to the name, as shown in the table. Table 12. Description of Gemini Tile MMRs Name Description GM_TILE_PERF_VC0_PHIT_CNT:n:m Number of vc0 phits read from inq buffer GM_TILE_PERF_VC1_PHIT_CNT:n:m Number of vc1 phits read from inq buffer GM_TILE_PERF_VC0_PKT_CNT:n:m Number of vc0 packets read from inq buffer GM_TILE_PERF_VC10_PKT_CNT:n:m Number of vc1 packets read from inq buffer GM_TILE_PERF_INQ_STALL:n:m Number of clock periods a valid reference is blocked from the routing pipeline. GM_TILE_PERF_CREDIT_STALL:n:m Number of clock periods a valid reference is stalled in the column buffers, waiting on transmissions credits. S–0025–10 23Using the Cray Gemini Hardware Counters © 2010 Cray Inc. All Rights Reserved. This document or parts thereof may not be reproduced in any form unless permitted by contract or by written permission of Cray Inc. Cray, LibSci, PathScale, and UNICOS are federally registered trademarks and Active Manager, Baker, Cascade, Cray Apprentice2, Cray Apprentice2 Desktop, Cray C++ Compiling System, Cray CX, Cray CX1, Cray CX1-iWS, Cray CX1-LC, Cray CX1000, Cray CX1000-C, Cray CX1000-G, Cray CX1000-S, Cray CX1000-SC, Cray CX1000-SM, Cray CX1000-HN, Cray Fortran Compiler, Cray Linux Environment, Cray SHMEM, Cray X1, Cray X1E, Cray X2, Cray XD1, Cray XE, Cray XE6, Cray XMT, Cray XR1, Cray XT, Cray XTm, Cray XT3, Cray XT4, Cray XT5, Cray XT5 h , Cray XT5m, Cray XT6, Cray XT6m, CrayDoc, CrayPort, CRInform, ECOphlex, Gemini, Libsci, NodeKARE, RapidArray, SeaStar, SeaStar2, SeaStar2+, Threadstorm, UNICOS/lc, UNICOS/mk, and UNICOS/mp are trademarks of Cray Inc. Version 1.0 Published July 2010 Supports CrayPat release 5.1 and CLE release 3.1 running on Cray XT systems. 24 S–0025–10 Overview of Gemini Hardware Counters This document describes the Gemini Performance Counters and how to use them to optimize individual applications and system traf?c. Send e-mail to docs@cray.com with any comments that will help us to improve the accuracy and usability of this document. Be sure to include the title and number of the document with your comments. We value your comments and will respond to them promptly. Accessing network performance counters is desirable for application developers, system library developers (e.g. MPI), and system administrators. Application developers want to improve their application run-times or measure what affect other traf?c on the system has on their application. System library developers want to optimize their collective operations. System Administrators want to observe the system, looking for hotspots. Effective with the CrayPat (Cray performance analysis tool) version 5.1 and Cray Linux Environment (CLE) version 3.1 software releases for the Cray XE platform, users can monitor many of the performance counters that reside on the Gemini networking chip. There are two categories of Gemini performance counters available to users. NIC performance counters record information about the data moving through theNetwork Interface Controller (NIC). On the Gemini ASIC there are two NICs, each attached to a compute node. Thus, the data from the NIC performance counters re?ects network transfers beginning and ending on the node. These performance counters are read-only. Network router tile counters are available on a per-Gemini basis. There are both read-only and read/write tile counters. Each chip has 48 router tiles, arranged in a 6x8 grid. Eight processor tiles connect to each of the two Gemini NICs. Each NIC connects to a different node, running separate Linux instances. If collection at other points of the application is desired, use the CrayPat API to insert regions as described in the pat_build man page. It is recommended that you do not collect any other performance data when collecting network counters. Data collection of network counters is much more expensive than other performance data collection, and will skew other results. At the time the instrumented executable program is launched with the aprun command, a set of environment variables, PAT_RT_NWPC_*, provide access to the Gemini network performance counters. These environment variables are described in the intro_craypat man page. S–0025–10 1Using the Cray Gemini Hardware Counters 1.1 Using CrayPat to Monitor Gemini Counters The CrayPat utility pat_build instruments an executable ?le. One aspect of the instrumentation includes intercepting entries into and returns out of a function. This is known formally as tracing. Information such as time stamps and performance counter values are recorded at this time. CrayPat supports instrumentation of an application binary for collection of Gemini counters. Counter values are recorded at application runtime, and are presented to the user through a table generated by pat_report. The CrayPat user interface to request instrumentation is similar to that for processor performance counters. There is no Gemini counter display available in Cray Apprentice2 at this time. A new display will be available in a subsequent release of the Cray Apprentice2 software. Although the user interface to request network counters is similar to processor counters, there are some signi?cant differences that must be understood. Depending on the type of counters requested, some are shared across all processors within a node, some are shared between two nodes and some are shared across all applications passing through a chip. Some counters monitor all traf?c for your application, even on nodes that are not reserved for your application, and some monitor locally, that is they monitor only traf?c associated with nodes assigned to a Gemini chip and no other traf?c from the network. Users should also be aware that access to the network counters is more resource-intensive than access to the processor performance counters. Because Gemini counters are a shared resource, the system software is designed to provide dedicated access whenever possible. This is done through the Application Level Placement Scheduler (ALPS) by ensuring that an application collecting counters is not placed on the same Gemini chip as another application collecting performance counters. It does not prevent a second application from being placed on the same Gemini chip that is not collecting counters however. This compromise assures better system utilization because compute nodes are not left unavailable for use by another application. The CrayPat 5.1 release focuses on the use of the NIC and ORB counters available within the Gemini chip. The values collected from these counters are local to a node and therefore speci?c to an application. Traf?c between MPI ranks cannot be distinguished through the counters. The event names that CrayPat supports are listed at the end of this document. Network counters are only collected for the MAIN thread. Values are collected at the beginning and end of the instrumented application. Instrumentation overhead is minimal. This gives a high-level view of the program's use of the networking router in terms of the counters speci?ed. Currently the time to access counter data is too expensive to collect more frequently. A future release of CLE will address these performance limitations. 2 S–0025–10Overview of Gemini Hardware Counters Before attempting the following examples verify that your system has a Gemini network: $ module list xtpe-network-gemini Attempting to collect Gemini performance counters on a system that does not have the Gemini network will result in a fatal error: $ aprun -n 16 my_program+pat CrayPat/X: Version 5.1 Revision 3329 05/20/10 11:26:16 pat[FATAL][0]: initialization of NW performance counter API failed [No such file or directory] Example 1. Collect stalls associated with node traf?c to and from the network This example enables tracing of MAIN. $ pat_build -w my_program $ export PAT_RT_NWPC=GM_ORB_PERF_VC0_STALLED,GM_ORB_PERF_VC1_STALLED $ aprun my_program+pat Example 2. Display network counter data $ pat_report my_program+pat+11171-41tdot.xf> counter_rpt Example output from pat_report: NWPC Data by Function Group and Function Group / Function / Node Id=0='HIDE' ===================================================================== Total --------------------------------------------------------------------- Time% 100.0% Time 2.476423 secs GM_ORB_PERF_VC1_STALLED 0 GM_ORB_PERF_VC1_BLOCKED 0 GM_ORB_PERF_VC1_BLOCKED_PKT_GEN 0 GM_ORB_PERF_VC1_PKTS 48 GM_ORB_PERF_VC1_FLITS 48 GM_ORB_PERF_VC0_STALLED 111 GM_ORB_PERF_VC0_PKTS 48 GM_ORB_PERF_VC0_FLITS 201 ===================================================================== S–0025–10 3Using the Cray Gemini Hardware Counters Example 3. Collect data for a custom group of network counters In this example a user creates a group of network events in a ?le called my_nwpc_groups, one called 1 and the other called CQ_AMO: $ cat my_nwpc_groups # Group 1: Outstanding Request Buffer 1 = GM_ORB_PERF_VC1_STALLED, GM_ORB_PERF_VC1_BLOCKED, GM_ORB_PERF_VC1_BLOCKED_PKT_GEN, GM_ORB_PERF_VC1_PKTS, GM_ORB_PERF_VC1_FLITS, GM_ORB_PERF_VC0_STALLED, GM_ORB_PERF_VC0_PKTS, GM_ORB_PERF_VC0_FLITS # Group CQ_AMO: CQ_AMO = GM_AMO_PERF_COUNTER_EN, GM_AMO_PERF_CQ_FLIT_CNTR, GM_AMO_PERF_CQ_PKT_CNTR, GM_AMO_PERF_CQ_STALLED_CNTR, GM_AMO_PERF_CQ_BLOCKED_CNTR $ pat_build -w my_program $ export PAT_RT_NWPC_FILE=my_nwpc_groups $ export PAT_RT_NWPC=1,CQ_AMO $ aprun -n16 my_program+pat 4 S–0025–10Overview of Gemini Hardware Counters Example output from pat_report: NWPC Data by Function Group and Function Group / Function / Node Id=0='HIDE' ===================================================================== Total --------------------------------------------------------------------- Time% 100.0% Time 2.639046 secs GM_ORB_PERF_VC1_STALLED 72525 GM_ORB_PERF_VC1_PKTS 50457 GM_AMO_PERF_COUNTER_EN 0 GM_AMO_PERF_CQ_FLIT_CNTR 11752 GM_AMO_PERF_CQ_PKT_CNTR 5876 GM_AMO_PERF_CQ_STALLED_CNTR 5092 GM_AMO_PERF_CQ_BLOCKED_CNTR 29 ===================================================================== Example 4. Suppress instrumented entry points from recording performance data to reduce overhead This example assumes a NWPC group FMAS exists and is available for use. Because the program is traced, the PAT_RT_TRACE_FUNCTION_NAME is set to suppress any data collection by already instrumented entry points in my_program+pat. This means that NWPC values will only be recorded for the MAIN thread at the start and the end of the instrumented program. Instrumentation overhead is minimal. $ pat_build -u -g mpi my_program $ export PAT_RT_NWPC=FMAS $ export PAT_RT_TRACE_FUNCITON_NAME=*:0 $ aprun -n32 my_program+pat This gives a high-level view of the program's use of the networking router in terms of what the FMAS group describes. If more details about NWPC use during execution of the program are desired, the PAT_RT_TRACE_FUNCTION_NAME environment variable need not be set, but the signi?cant overhead injected by reading the NWPCs may make the resulting performance data inaccurate. To selectively collect NWPCs and the other performance data for traced functions, add them to the end of PAT_RT_TRACE_FUNCTION_NAME: $ export PAT_RT_TRACE_FUNCTION_NAME=0:*,mxm,MPI_Bcast S–0025–10 5Using the Cray Gemini Hardware Counters 1.2 Gemini NIC Counters To better understand how to use the NIC counters, you need to understand some of the terminology speci?c to the Gemini network architecture. The Block Transfer Engine (BTE) A Gemini network packet typically consists of one or more ?its, which are the units of ?ow control for the network. Because ?its are usually larger than the physical datapath, they are divided into phits, which are the units of data that the network can handle physically. A packet must contain at least two phits, one for the header and one for the cyclical redundancy check (CRC). The V0 counters support the request channel and the V1 counters support the response channel. A ?it/pkt ratio can tell the user if the data entering the network was not aligned, eg a ratio greater than 1 indicates misaligned data is being sent across the network. Because there is a bandwidth/pipe size difference between outgoing and incoming (outgoing is smaller), in general you will notice more stalls on the V0 (request) channel. The following counters are recommended as a way to begin using the Gemini NWPC: GM_ORB_PERF_VC0_STALLED GM_ORB_PERF_VC1_STALLED GM_ORB_PERF_VC0_PKTS GM_ORB_PERF_VC1_PKTS GM_ORB_PERF_VC0_FLITS GM_ORB_PERF_VC1_FLITS Table 1. Atomic Memory Operations Performance Counters Name Description GM_AMO_PERF_ACP_COMP_CNTR Number of Atomic Memory Operation (AMO) computations that have occurred. GM_AMO_PERF_ACP_MEM_UPDATE_CNTR Number of AMO logic cache write-throughs that have occurred. GM_AMO_PERF_ACP_STALL_CNTR Number of AMO logic pipeline stalls that have occurred. GM_AMO_PERF_AMO_HEADER_CNTR Number of request headers processed by the Decode Logic that have had an AMO computation. Error packets are not counted. GM_AMO_PERF_COUNTER_EN When set, counting is enabled. When cleared, counting is disabled. GM_AMO_PERF_CQ_BLOCKED_CNTR Number of cycles the CQ FIFO is blocked. 6 S–0025–10Overview of Gemini Hardware Counters Name Description GM_AMO_PERF_CQ_FLIT_CNTR Number of ?its (network ?ow control units) that are read from the CQ FIFO. GM_AMO_PERF_CQ_PKT_CNTR Number of packets that are read from the CQ FIFO. GM_AMO_PERF_CQ_STALLED_CNTR Number of cycles the CQ FIFO is stalled. GM_AMO_PERF_DONE_INV_CNTR Number of times a valid cache entry was invalidated because there were no more outstanding AMO requests targeting it and the last request did not have the cacheable bit set. GM_AMO_PERF_ERROR_HEADER_CNTR Number of request headers processed by the Decode Logic that have had errors. GM_AMO_PERF_FLUSH_HEADER_CNTR Number of request headers processed by the Decode Logic that have had a Flush command. Error packets are not counted. GM_AMO_PERF_FULL_INV_CNTR Number of times a valid but inactive cache entry was invalidated to make room for a new AMO address. A high value in this counter indicates that there are too many cacheable AMO addresses and that the cache is being thrashed. GM_AMO_PERF_GET_HEADER_CNTR Number of request headers processed by the Decode Logic that have had an GET command. Error packets are not counted. GM_AMO_PERF_MSGCOMP_HEADER_CNTR Number of request headers processed by the Decode Logic that have had a MsgComplete command. Error packets are not counted. GM_AMO_PERF_PUT_HEADER_CNTR Number of request headers processed by the Decode Logic that have had an PUT command. Error packets are not counted. GM_AMO_PERF_REQLIST_FULL_STALL_CNTR Number of times an AMO request causes the NRP to stall waiting for a Request List entry to become free. GM_AMO_PERF_RMT_BLOCKED_CNTR Number cycles the RMT FIFO is blocked GM_AMO_PERF_RMT_FLIT_CNTR Number of ?its that are read from the RMT FIFO GM_AMO_PERF_RMT_PKT_CNTR Number of packets that are read from the RMT FIFO GM_AMO_PERF_RMT_STALLED_CNTR Number cycles the RMT FIFO is stalled S–0025–10 7Using the Cray Gemini Hardware Counters Name Description GM_AMO_PERF_TAG_HIT_CNTR Number of AMO requests that have been processed in the Tag Store and have resulted in a cache hit. GM_AMO_PERF_TAG_MISS_CNTR Number of AMO requests that have been processed in the Tag Store and have resulted in a cache miss. GM_AMO_PERF_TAG_STALL_CNTR Number of times a GET/PUT request hits in the cache and causes the NRP to stall. Table 2. Fast Memory Access Performance Counters Name Description GM_FMA_PERF_CQ_PKT_CNT Number of packets from Fast Memory Access (FMA) to CQ. GM_FMA_PERF_CQ_STALLED_CNT Number of clock cycles FMA_CQ was stalled due to lack of credits. GM_FMA_PERF_HT_NP_REQ_FLIT_CNT Number of HT NP request ?its to FMA. GM_FMA_PERF_HT_NP_REQ_PKT_CNT Number of HT NP request packets to FMA. GM_FMA_PERF_HT_P_REQ_FLIT_CNT Number of HT P request ?its to FMA. GM_FMA_PERF_HT_P_REQ_PKT_CNT Number of HT P request packets to FMA. GM_FMA_PERF_HT_RSP_PKT_CNT Number of HT response packets from FMA to HT. GM_FMA_PERF_HT_RSP_STALLED_CNT Number of clock cycles FMA_HT_RSP was stalled due to lack of credits. GM_FMA_PERF_TARB_FLIT_CNT Number of ?its from FMA to TARB. GM_FMA_PERF_TARB_PKT_CNT Number of packets from FMA to TARB. GM_FMA_PERF_TARB_STALLED_CNT Number of clock cycles FMA_TARB was stalled due to lack of credits. 8 S–0025–10Overview of Gemini Hardware Counters Table 3. Hyper-transport Arbiter Performance Counters Name Description GM_HARB_PERF_AMO_NP_BLOCKED Number of times AMO Non-Posted Queue has an entry, but is blocked from using the Non-Posted Initiator Request output channel by the BTE Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_NP_FLITS Number of ?its coming out of the AMO Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_NP_PKTS Number of packets coming out of the AMO Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_NP_STALLED Number of cycles the AMO Non-Posted Queue is stalled due to a lack credits on the Non-Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_ACP_BLOCKED Number of times AMO Posted AMO Computation Pipe Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_ACP_FLITS Number of ?its coming out of the AMO Posted AMO Computation Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). S–0025–10 9Using the Cray Gemini Hardware Counters Name Description GM_HARB_PERF_AMO_P_ACP_PKTS Number of packets coming out of the AMO Posted AMO Computation Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_ACP_STALLED Number of cycles the AMO Posted AMO Computation Pipe Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_BLOCKED Number of times AMO Posted New Request Pipe Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_FLITS Number of ?its coming out of the AMO Posted New Request Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_PKTS Number of packets coming out of the AMO Posted New Request Pipe Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_AMO_P_NRP_STALLED Number of cycles the AMO Posted New Request Pipe Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). 10 S–0025–10Overview of Gemini Hardware Counters Name Description GM_HARB_PERF_BTE_NP_BLOCKED Number of times AMO Non-Posted BTE Queue has an entry, but is blocked from using the Non-Posted Initiator Request output channel by another Non-Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_NP_FLITS Number of ?its coming out of the AMO Non-Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_NP_PKTS Number of packets coming out of the AMO Non-Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_NP_STALLED Number of cycles the AMO Non-Posted BTE Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_P_BLOCKED Number of times AMO Posted BTE Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_P_FLITS Number of ?its coming out of the AMO Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). S–0025–10 11Using the Cray Gemini Hardware Counters Name Description GM_HARB_PERF_BTE_P_PKTS Number of packets coming out of the AMO Posted BTE Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_BTE_P_STALLED Number of cycles the AMO Posted BTE Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_COUNTER_EN When set, counting is enabled. When clear, counting is disabled. This MMR is reset by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_NP_FLITS Number of ?its on the non-posted initiator request output of the HARB block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_NP_PKTS Number of packets on the non-posted initiator request output of the HARB Block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_NP_STALLED Number of cycles on the non-posted initiator request output of the HARB is stalled due to a lack credits on the Non-Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). 12 S–0025–10Overview of Gemini Hardware Counters Name Description GM_HARB_PERF_IREQ_P_FLITS Number of ?its on the posted initiator request output of the HARB block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_P_PKTS Number of packets on the posted initiator request output of the HARB Block. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_IREQ_P_STALLED Number of cycles on the posted initiator request output of the HARB is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_RAT_P_BLOCKED Number of times AMO Posted RAT Queue has an entry, but is blocked from using the Posted Initiator Request output channel by another Posted Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_RAT_P_FLITS Number of ?its coming out of the AMO Posted RAT Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). S–0025–10 13Using the Cray Gemini Hardware Counters Name Description GM_HARB_PERF_RAT_P_PKTS Number of packets coming out of the AMO Posted RAT Queue. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). GM_HARB_PERF_RAT_P_STALLED Number of cycles the AMO Posted RAT Queue is stalled due to a lack credits on the Posted Initiator Request channel. The Local Block has read/write access to the full counter. Bits 63:48 of this MMR are unimplemented and always return zero. This MMR is reset to all zeros by the chip reset (i_reset), but not by HT reset (i_ht_reset). Table 4. Network Address Translation Performance Counters Name Description GM_NAT_PERF_BTE_BLOCKED Number of cycles a BTE translation is blocked due to arbitration loss. GM_NAT_PERF_BTE_STALLED Number of cycles a BTE translation is stalled due to MMR access. GM_NAT_PERF_BTE_TRANSLATIONS Number of translations performed for the BTE interface. GM_NAT_PERF_COUNTER_EN When set, counting is enabled. When cleared, counting is disabled. GM_NAT_PERF_REQ_BLOCKED Number of cycles a REQ translation is blocked due to arbitration loss. GM_NAT_PERF_REQ_STALLED Number of cycles a REQ translation is stalled due to MMR access. GM_NAT_PERF_REQ_TRANSLATIONS Number of translations performed for the REQ interface. GM_NAT_PERF_RSP_BLOCKED Number of cycles a RSP translation is blocked due to arbitration loss. GM_NAT_PERF_RSP_STALLED Number of cycles a RSP translation is stalled due to MMR access. GM_NAT_PERF_RSP_TRANSLATIONS Number of translations performed for the RSP interface. GM_NAT_PERF_TRANS_ERROR0 Number of translations that failed due to error 0 (Uncorrectable error in translation). 14 S–0025–10Overview of Gemini Hardware Counters Name Description GM_NAT_PERF_TRANS_ERROR1 Number of translations that failed due to error 1 (VMDH table invalid entry). GM_NAT_PERF_TRANS_ERROR2 Number of translations that failed due to error 2 (MDDT/MRT invalid or illegal entry). GM_NAT_PERF_TRANS_ERROR3 Number of translations that failed due to error 3 (Protection tag violation). GM_NAT_PERF_TRANS_ERROR4 Number of translations that failed due to error 4 (memory bounds error). GM_NAT_PERF_TRANS_ERROR5 Number of translations that failed due to error 5 (write permission error) Table 5. Netlink Performance Counters Name Description GM_NL_PERF_ALL_LCBS_REQS_TO_NIC_0_STALLED Number of ticks all LCBs requests have stalled to NIC 0. GM_NL_PERF_ALL_LCBS_REQS_TO_NIC_1_STALLED Number of ticks all LCBs requests have stalled to NIC 1. GM_NL_PERF_ALL_LCBS_RSP_TO_NIC_0_STALLED Number of ticks all LCBs responses have stalled to NIC 0. GM_NL_PERF_ALL_LCBS_RSP_TO_NIC_1_STALLED Number of ticks all LCBs responses have stalled to NIC 1. GM_NL_PERF_CNTRL Controls the performance counters. Writing a 1 to the Start ?eld starts the counters. Writing a 1 to the Stop ?eld stops the counters. Writing a 1 to the Clear ?eld clears the counters. GM_NL_PERF_LCB_n_REQ_CMP_22 Decompressed request data to two phit LCB_n, where n is a value from 0 to 7 that speci?es the LCB. GM_NL_PERF_LCB_n_REQ_CMP_44 Decompressed request data to one phit LCB_n, where n is a value from 0 to 7 that speci?es the LCB. GM_NL_PERF_LCB_n_REQ_TO_NIC_0 Number of requests from LCB_n to NIC 0. GM_NL_PERF_LCB_n_REQ_TO_NIC_0_STALLED Number of ticks LCB_n requests are blocked to NIC 0. GM_NL_PERF_LCB_n_REQ_TO_NIC_1 Number of requests from LCB_n to NIC 1. S–0025–10 15Using the Cray Gemini Hardware Counters Name Description GM_NL_PERF_LCB_n_REQ_TO_NIC_1_STALLED Number of ticks LCB_n requests are blocked to NIC 1. GM_NL_PERF_LCB_n_REQ_TO_PHITS Number of request phits received on LCB_n. GM_NL_PERF_LCB_n_REQ_TO_PKTS Number of request packets received on LCB_n. GM_NL_PERF_LCB_n_RSP_CMP_22 Decompressed response data to two phit LCB_n GM_NL_PERF_LCB_n_RSP_TO_NIC_1 Number of responses from LCB_n to NIC 1. GM_NL_PERF_LCB_n_RSP_TO_NIC_1_STALLED Number of ticks LCB_n responses are blocked to NIC 1. GM_NL_PERF_NIC_0_REQ_STALLED_TO_ALL_LCBS Number of ticks NIC_0 requests are blocked to all LCBs. GM_NL_PERF_NIC_0_REQ_TO_LCB_n Number of requests from NIC_0 LCB_ n. GM_NL_PERF_NIC_0_REQ_TO_LCB_n_STALLED Number of ticks NIC_0 requests are blocked to LCB_n. GM_NL_PERF_NIC_0_RSP_STALLED_TO_ALL_LCBS Number of ticks NIC_0 responses are blocked to all LCBs. GM_NL_PERF_NIC_0_RSP_TO_LCB_n Number of responses from NIC_0 LCB_ n. GM_NL_PERF_NIC_0_RSP_TO_LCB_n_STALLED Number of ticks NIC_0 responses are blocked to LCB_n. GM_NL_PERF_NIC_1_REQ_STALLED_TO_ALL_LCBS Number of ticks NIC_0 requests are blocked to all LCBs. GM_NL_PERF_NIC_1_REQ_TO_LCB_n Number of requests from NIC_1 to LCB_ n. GM_NL_PERF_NIC_1_REQ_TO_LCBn_STALLED Number of ticks NIC_1 requests are blocked to LCB_n. GM_NL_PERF_NIC_1_RSP_STALLED_TO_ALL_LCBS Number of ticks NIC_1 responses are blocked to all LCBs. GM_NL_PERF_NIC_1_RSP_TO_LCB_n Number of responses from NIC_1 LCB_ n. GM_NL_PERF_NIC_1_RSP_TO_LCB_n_STALLED Number of ticks NIC_1 responses are blocked to LCB_n. 16 S–0025–10Overview of Gemini Hardware Counters Table 6. NPT Performance Counters Name Description GM_NPT_PERF_ACP_BLOCKED_CNTR Number of cycles the ACP FIFO is blocked. GM_NPT_PERF_ACP_FLIT_CNTR Number of ?its that are read from the ACP FIFO. GM_NPT_PERF_ACP_PKT_CNTR Number of packets that are read from the ACP FIFO. GM_NPT_PERF_ACP_STALLED_CNTR Number of cycles the ACP FIFO is stalled. GM_NPT_PERF_BTE_RSP_PKT_CNTR Number of packets that are sent to the Netlink as Get or Flush responses. GM_NPT_PERF_COUNTER_EN Provides the count enable. GM_NPT_PERF_FILL_RSP_PKT_CNTR Number of packets that are sent to the AMO block as ?ll responses. GM_NPT_PERF_HTIRSP_ERR_CNTR Number of packets that are received from the HT cave and have an error status. GM_NPT_PERF_HTIRSP_FLIT_CNTR Number of ?its that are received from the HT cave. GM_NPT_PERF_HTIRSP_PKT_CNTR Number of packets that are received from the HT cave. GM_NPT_PERF_LB_BLOCKED_CNTR Number of cycles the LB FIFO is blocked. GM_NPT_PERF_LB_FLIT_CNTR Number of ?its that are read from the LB FIFO. GM_NPT_PERF_LB_PKT_CNTR Number of packets that are read from the LB FIFO. GM_NPT_PERF_LB_STALLED_CNTR Number of cycles the LB FIFO is stalled. GM_NPT_PERF_NL_RSP_PKT_CNTR Number of packets that are sent to the AMO block as ?ll responses. GM_NPT_PERF_NPT_BLOCKED_CNTR Number of cycles the NPT FIFO is blocked. GM_NPT_PERF_NPT_FLIT_CNTR Number of ?its that are read from the NPT FIFO. GM_NPT_PERF_NPT_PKT_CNTR Number of packets that are read from the NPT FIFO. GM_NPT_PERF_NPT_STALLED_CNTR Number of cycles the NPT FIFO is stalled. GM_NPT_PERF_NRP_BLOCKED_CNTR Number of cycles the NRP FIFO is blocked. GM_NPT_PERF_NRP_FLIT_CNTR Number of ?its that are read from the NRP FIFO. GM_NPT_PERF_NRP_PKT_CNTR Number of packets that are read from the NRP FIFO. GM_NPT_PERF_NRP_STALLED_CNTR Number of cycles the NRP FIFO is stalled. S–0025–10 17Using the Cray Gemini Hardware Counters Table 7. ORB Performance Counters Name Description GM_ORB_PERF_VC0_FLITS Number of ?its to come into the TX Input Queue from the SSID. GM_ORB_PERF_VC0_PKTS Number of packets to come into the TX Input Queue from the SSID. GM_ORB_PERF_VC0_STALLED Number of packets not given access to the TX Control Logic because there is not enough credits available from the NL Block, or there are no available memory locations from the ORD RAM, or a tail ?it has not been received in the ORB Input Queue when performing store-and-forward. GM_ORB_PERF_VC1_BLOCKED Number of packets not given access to the RX Control Logic because the read address and write address into the ORD RAM are attempting to access the same bank of the ORD RAM or because there is a read access to the ORD RAM from the Local Block. GM_ORB_PERF_VC1_BLOCKED_PKT_GEN Number of times the RX Response FIFO is blocked because a packet in the RX Control Logic is being translated into the format used by the rest of the NIC. GM_ORB_PERF_VC1_FLITS Number of ?its to come into the Receive Response FIFO from the network. GM_ORB_PERF_VC1_PKTS Number of packets to come into the Receive Response FIFO from the network. GM_ORB_PERF_VC1_STALLED Number of packets not given access to the RX Control Logic because there is not enough credits available from the RAT. 18 S–0025–10Overview of Gemini Hardware Counters Table 8. RAT Performance Counters Name Description GM_RAT_PERF_COUNTER_EN Enables the performance counters. GM_RAT_PERF_DATA_FLITS_VC0 Number of data ?its received on VC0 (request pipeline). GM_RAT_PERF_DATA_FLITS_VC1 Number of data ?its received on VC1 (request pipeline). GM_RAT_PERF_HEADER_FLITS_VC0 Number of header ?its received on VC0 (request pipeline). GM_RAT_PERF_HEADER_FLITS_VC1 Number of header ?its received on VC1 (request pipeline). GM_RAT_PERF_STALLED_CREDITS_VC0 Number of cycles VC0 (request pipeline) is stalled due to insuf?cient credits. GM_RAT_PERF_STALLED_CREDITS_VC1 Number of cycles VC1 (request pipeline) is stalled due to insuf?cient credits. GM_RAT_PERF_STALLED_TRANSLATION_VC0 Number of cycles VC0 (request pipeline) is stalled due to unavailable translation data. GM_RAT_PERF_STALLED_TRANSLATION_VC1 Number of cycles VC1 (request pipeline) is stalled due to unavailable translation data. GM_RAT_PERF_TRANSLATION_ERRORS_VC0 Number of translation errors seen on VC0 (request pipeline). GM_RAT_PERF_TRANSLATION_ERRORS_VC1 Number of translation errors seen on VC1 (request pipeline). GM_RAT_PERF_TRANSLATIONS_VC0 Number of translations requested on VC0 (request pipeline). GM_RAT_PERF_TRANSLATIONS_VC1 Number of translations requested on VC1 (request pipeline). S–0025–10 19Using the Cray Gemini Hardware Counters Table 9. RMT Performance Counters Name Description GM_RMT_PERF_PUT_BYTES_RX Tally of bytes received in all PUT packets that had the RMT Enable ?eld set that entered and exited the RMT with OK status. GM_RMT_PERF_PUT_CAM_EVIT PUT sequences evicted from the CAM. GM_RMT_PERF_PUT_CAM_FILL New PUT sequence packet arrived and successfully allocated in the CAM. GM_RMT_PERF_PUT_CAM_HITS Packet for PUT sequence currently stored in RMT arrived and successfully located entry in CAM. GM_RMT_PERF_PUT_CAM_MISS New PUT sequence packet arrived, but did not allocate because CAM was full. GM_RMT_PERF_PUT_PARITY Number of sequences evicted from CAM due to uncorrectable parity errors. GM_RMT_PERF_PUT_RECV_COMPLETE Number of MsgRcvComplete packets received which evicted a CAM entry. GM_RMT_PERF_PUT_TIMEOUTS Number of sequences evicted from CAM due to timeout. GM_RMT_PERF_SEND_BYTES_RX Tally of bytes received in all SEND packets that had the RMT Enable ?eld set and entered and exited the RMT with OK status. GM_RMT_PERF_SEND_CAM_EVIT SEND sequences evicted from the CAM. GM_RMT_PERF_SEND_CAM_FILL New SEND sequence packet arrived and successfully allocated in the CAM. GM_RMT_PERF_SEND_CAM_HITS Packet for SEND sequence currently stored in RMT arrived and successfully located entry in CAM. GM_RMT_PERF_SEND_CAM_MISS New SEND sequence packet arrived, but did not allocate because CAM was full. GM_RMT_PERF_SEND_PARITY Number of sequences evicted from CAM due to uncorrectable parity errors. GM_RMT_PERF_SEND_ABORTS Number of SEND sequences that were aborted. GM_RMT_PERF_SEND_TIMEOUTS Number of sequences evicted from CAM due to timeout. 20 S–0025–10Overview of Gemini Hardware Counters Table 10. SSID Performance Counters Name Description GM_SSID_PERF_COMPLETION_COUNT_1 Provides a count of completed request packet sequences. The type of sequence completions counted by this register is controlled by the SSID Performance – Completion Count Selector Register. GM_SSID_PERF_COMPLETION_COUNT_2 Provides a count of completed request packet sequences. The type of sequence completions counted by this register is controlled by the SSID Performance – Completion Count Selector Register. GM_SSID_PERF_COMPLETION_COUNT_SELECTOR Speci?es the types of completion events that are counted in the SSID Performance – Completion Count 1 Register (bits 3-0) and the SSID Performance – Completion Count 2 Register (bits 11-8). See the table of SSID_PerfCompletionCountSelect Encoding values for encoding of these ?elds. GM_SSID_PERF_OUT_STALLED_DURATION The accumulated number of cycles of cclk for which the SSID had a valid ?it available to send to the ORB but sending of the ?it had to be stalled while waiting for a credit from the ORB. This value is cleared by writing any value to this register. GM_SSID_PERF_OUTOFSSIDS_COUNT The number of Allocate SSID requests that have been received for which processing of the request had to be stalled for one or more clock cycles because a free SSID was not immediately available to service the request. This value is cleared by writing any value to this register. GM_SSID_PERF_OUTOFSSIDS_DURATION The accumulated number of cycles of cclk for which processing of Allocate SSID requests has been stalled because a free SSID is not available to service the request. This value is cleared by writing any value to this register. S–0025–10 21Using the Cray Gemini Hardware Counters Name Description GM_SSID_PERF_SSID_ALLOCATE_COUNT The total number of Allocate SSID requests that have been received, across all channels (all FMA descriptors and all BTE VCs), because this register was last cleared, and that resulted in a SSID actually being allocated. Allocate SSID requests that do not result in a SSID being allocated (i.e. redundant Allocate requests) are not counted. This value is cleared by writing any value to this register. GM_SSID_PERF_SSIDS_IN_USE Bits 7-0 specify the number of SSIDs currently in use across all Request Channels. This value is not affected by writes to this register. This ?eld is initialized to its reset value by a full reset and by an ht reset. Bits 23-16 specify the maximum number of SSIDs that have been in use simultaneously, across all channels (all FMA descriptors and all BTE Vcs), since this register was last initialized. This value is initialized to CurrentSSIDsInUse by writing any value to this register. This ?eld is initialized to its reset value by a full reset. Table 11. Transmit Arbiter Performance Counters Name Description GM_TARB_PERF_BTE_BLOCKED Transmit Arbiter (TARB) Performance BTE Blocked Count GM_TARB_PERF_BTE_FLITS TARB Performance BTE Flit Count GM_TARB_PERF_BTE_PKTS TARB Performance BTE Packet Count GM_TARB_PERF_BTE_STALLED TARB Performance BTE Stalled Count GM_TARB_PERF_FMA_BLOCKED TARB Performance FMA Blocked Count GM_TARB_PERF_FMA_FLITS TARB Performance FMA Flit Count GM_TARB_PERF_FMA_PKTS TARB Performance FMA Packet Count GM_TARB_PERF_FMA_STALLED TARB Performance FMA Stalled Count GM_TARB_PERF_LB_BLOCKED TARB Performance LB Blocked Count GM_TARB_PERF_LB_FLITS TARB Performance LB Flit Count GM_TARB_PERF_LB_PKTS TARB Performance LB Packet Count 22 S–0025–10Overview of Gemini Hardware Counters Name Description GM_TARB_PERF_LB_STALLED TARB Performance LB Stalled Count GM_TARB_PERF_OUT_FLITS TARB Performance Output Flit Count GM_TARB_PERF_OUT_PKTS TARB Performance Output Packet Count GM_TARB_PERF_OUT_STALLED TARB Performance Output Stalled Count 1.3 Gemini Tile MMRs The Gemini network consists of 48 tiles, arranged in 6 rows of 8 columns. Within each tile there are memory-mapped registers associated with the LCB and with the rest of the tile. The local block has shared connections to each row of tiles. By default, when only the name of the MMR is used, an event is counted on all 48 tiles. To address an individual tile, append the row (0-5) and column (0-7) to the name, as shown in the table. Table 12. Description of Gemini Tile MMRs Name Description GM_TILE_PERF_VC0_PHIT_CNT:n:m Number of vc0 phits read from inq buffer GM_TILE_PERF_VC1_PHIT_CNT:n:m Number of vc1 phits read from inq buffer GM_TILE_PERF_VC0_PKT_CNT:n:m Number of vc0 packets read from inq buffer GM_TILE_PERF_VC10_PKT_CNT:n:m Number of vc1 packets read from inq buffer GM_TILE_PERF_INQ_STALL:n:m Number of clock periods a valid reference is blocked from the routing pipeline. GM_TILE_PERF_CREDIT_STALL:n:m Number of clock periods a valid reference is stalled in the column buffers, waiting on transmissions credits. S–0025–10 23Using the Cray Gemini Hardware Counters © 2010 Cray Inc. All Rights Reserved. This document or parts thereof may not be reproduced in any form unless permitted by contract or by written permission of Cray Inc. Cray, LibSci, PathScale, and UNICOS are federally registered trademarks and Active Manager, Baker, Cascade, Cray Apprentice2, Cray Apprentice2 Desktop, Cray C++ Compiling System, Cray CX, Cray CX1, Cray CX1-iWS, Cray CX1-LC, Cray CX1000, Cray CX1000-C, Cray CX1000-G, Cray CX1000-S, Cray CX1000-SC, Cray CX1000-SM, Cray CX1000-HN, Cray Fortran Compiler, Cray Linux Environment, Cray SHMEM, Cray X1, Cray X1E, Cray X2, Cray XD1, Cray XE, Cray XE6, Cray XMT, Cray XR1, Cray XT, Cray XTm, Cray XT3, Cray XT4, Cray XT5, Cray XT5 h , Cray XT5m, Cray XT6, Cray XT6m, CrayDoc, CrayPort, CRInform, ECOphlex, Gemini, Libsci, NodeKARE, RapidArray, SeaStar, SeaStar2, SeaStar2+, Threadstorm, UNICOS/lc, UNICOS/mk, and UNICOS/mp are trademarks of Cray Inc. Version 1.0 Published July 2010 Supports CrayPat release 5.1 and CLE release 3.1 running on Cray XT systems. 24 S–0025–10 Using the Cray XMT™ for all streams Pragmas Abstract This document describes the for all streams compiler directives and how to use them to execute a block of code on multiple streams.© 2010 Cray Inc. All Rights Reserved. This document or parts thereof may not be reproduced in any form unless permitted by contract or by written permission of Cray Inc. Cray, LibSci, and PathScale are federally registered trademarks and Active Manager, Baker, Cascade, Cray Apprentice2, Cray Apprentice2 Desktop, Cray C++ Compiling System, Cray CX, Cray CX1, Cray CX1-iWS, Cray CX1-LC, Cray CX1000, Cray CX1000-C, Cray CX1000-G, Cray CX1000-S, Cray CX1000-SC, Cray CX1000-SM, Cray CX1000-HN, Cray Fortran Compiler, Cray Linux Environment, Cray SHMEM, Cray X1, Cray X1E, Cray X2, Cray XD1, Cray XE, Cray XE6, Cray XMT, Cray XR1, Cray XT, Cray XTm, Cray XT3, Cray XT4, Cray XT5, Cray XT5 h , Cray XT5m, Cray XT6, Cray XT6m, CrayDoc, CrayPort, CRInform, ECOphlex, Gemini, Libsci, NodeKARE, RapidArray, SeaStar, SeaStar2, SeaStar2+, Threadstorm, and UNICOS/lc are trademarks of Cray Inc. UNIX, the “X device,” X Window System, and X/Open are trademarks of The Open Group in the United States and other countries. All other trademarks are the property of their respective owners. RECORD OF REVISION S–0038–14 Published October 2010 Supports 1.4 and later releases running on the Cray XMT hardware.Using the Cray XMT™ for all streams Pragmas Using the Cray XMT for all streams Pragmas Overview In some programming situations it is useful to specify that a block of code should execute exactly once on each stream of a parallel region, allowing the application to manage data on a per-thread basis. Effective with the 1.4 release two pragma compiler directives were added that support this. Description The syntax of the for all streams pragmas is as follows: #pragma mta for all streams This directive starts up a parallel region (if the code is not already in a parallel region) and cause the next statement or block of statements to be executed exactly once on every stream allocated to the region. If the pragmas appear in code that would otherwise not be parallel, they cause it to go parallel. For example, #pragma mta for all streams printf("Stream checking in\n"); would cause every stream to print the phrase "Stream checking in" once. In this example the pragma executes a block of code that increments a counter before printing the phrase: int counter = 0; #pragma mta for all streams { counter++; printf("%d streams checked in \n", counter) }; #pragma mta for all streams i of n This directive is similar to the for all streams pragma except that it also sets the variable n to the total number of streams executing the region, and the variable i to a unique per-stream identifier between 0 and n-1. For example: int i, n; int check_in_array[MAX_PROCESSORS * MAX_STREAMS_PER_PROCESSOR]; for (int i = 0; i < MAX_PROCESSORS * MAX_STREAMS_PER_PROCESSOR; i++) check_in_array[i] = 0; #pragma mta for all streams i of n { check_in_array[i] = 1; printf("Stream %d of %d checked in.\n", i, n); } Note that the integer variables i and n must be declared separately from the pragma. S–0038–14 3Using the Cray XMT™ for all streams Pragmas You can use the for all streams pragmas in conjunction with the use n streams pragma to ask the compiler to allocate a certain number of streams per processor to the parallel region executing the for all streams block. #pragma mta use 100 streams #pragma mta for all streams {// do something } Be aware, however, that there is no guarantee that the runtime will grant the requested number of streams. For example, sufficient streams may not be available due to other jobs, the OS, or other simultaneous parallel regions in the current job. Examples In the following example, taken from a breadth-first search procedure, the for all streams pragma is used to divide a data structure between threads. int processQueue(int *Q,unsigned &head, unsigned &tail, unsigned qcap, const Neighbor neighbors[], const int numNeighbors[], sync int *Marked) { #pragma mta trace "process" #pragma mta noalias *Q, *Marked, *neighbors, *numNeighbors // elements [head,tail) are readonly // we can write to other elements of Q const unsigned oldtail = tail; const unsigned oldhead = head; unsigned newhead = head; unsigned stubbed = 0; #pragma mta use 100 streams #pragma mta for all streams { unsigned outhead = 0, outtail = 0; for(;;) { // grab INBLOCK nodes (& stubs) from the input unsigned inhead = int_fetch_add(&newhead, INBLOCK); // avoid overrun unsigned intail = std::min(inhead + INBLOCK, oldtail); if (inhead>=intail) break; // stop if we ran out of work #pragma mta assert nodep *Q,*numNeighbors,*neighbors for(int i=inhead; i=0) { int begin = numNeighbors[u]; // |N| int end = numNeighbors[u+1]; // |N| #pragma mta assert nodep *Q, *neighbors, *Marked for(int j=begin;j=outtail) { outhead = int_fetch_add(&tail, OUTBLOCK); outtail = outhead+OUTBLOCK; } Q[(outhead++)%qcap] = v; // |N| }else { Marked[v] = mark; // unlock & keep mark } } } } } #ifdef PHASES stubbed += outtail-outhead; #endif // stub-out the rest of reserved space // ), where is the number of streams the compiler requests.Limiting Loop Parallelism in Cray XMT™ Application S–0027–14 Cray Inc. 7 ? Limits the number of processors used by a multiprocessor parallel loop to max(1, c / ), where is the number of streams the compiler requests for each processor used by the parallel loop. ? If c is larger than or equal to , the total number of streams used by the parallel loop will be at most c. ? If c is less than , one processor will be used and streams will be requested by the compiler. ? Limits the number of futures created for a loop that uses loop future parallelism to c. ? If multiple max concurrency c pragmas are specified on one loop, the value of c specified by the last pragma will be used. ? For collapsible loop nests, the max concurrency value specified by the outer loop (if any) will be used for the collapsed loop. ? The max concurrency c pragma is not allowed to be used on a loop that also uses the use n streams pragma. Examples The following example illustrates using the max concurrency c pragma on a single processor parallel loop. /* Use at most 95 streams. */ #pragma mta loop single processor #pragma mta max concurrency 95 for(i = 0; i < size; i++) { array[i] += array[i] + (size + i); } The following example illustrates using the max concurrency c pragma on a multiprocessor parallel loop. /* Use at most 512 streams across all processors. */ #pragma mta max concurrency 512 for(i = 0; i < size; i++) { array[i] += array[i] + (size + i); }Limiting Loop Parallelism in Cray XMT™ Application S–0027–14 Cray Inc. 8 The following example illustrates using the max concurrency c pragma on a loop that uses loop future parallelism. /* Create at most 512 futures. */ #pragma mta loop future #pragma mta max concurrency 512 for(i = 0; i < size; i++) { array[i] += array[i] + (size + i); } Multiprocessor parallel loops are allowed to use both the max n processors and max concurrency c pragmas, and can use both on a single loop. In cases where both pragmas are used, the lower bound of the number of processors estimated by the two limits will be the limit used on the loop. For example, the following code illustrates the use of both pragmas on one multiprocessor parallel loop. /* Use at most 512 streams across all processors or * at most 8 processors, whichever is smaller. */ #pragma mta max concurrency 512 #pragma mta max 8 processors for(i = 0; i < size; i++) { array[i] += array[i] + (size + i); } In the above example, if the compiler were to request 64 streams per processor, then the max concurrency 512 would estimate that 8 processors should be used for the loop (i.e., 512/64). The max 8 processors has the same limit on the number of processors so the loop would be limited to 8 processors. If the compiler instead requested 32 streams per processor, then the max concurrency 512 would estimate that 16 processors should be used, which is more than the limit of 8 specified by the max 8 processors, so the loop would be limited to 8 processors. Because the use n streams pragma cannot be used on the same loop as a max concurrency c pragma, the loop will use the default number of streams determined by the compiler. The user will need to look at the canal details for a loop to determine the default number of streams being requested by the compiler. Effect of Pragmas on Loop Fusion and Parallel Region Merging The new pragmas can prevent the compiler from fusing loops if the loops involved do not have the same limits for the max processors and max concurrency. This is because the compiler will need to put the loops into different parallel regions in order to limit the processors and/or concurrency as requested by the user. This could potentially have a negative impact on the performance of a user's application, so users may need to look at the canal output to see what loops the compiler fused.Limiting Loop Parallelism in Cray XMT™ Application S–0027–14 Cray Inc. 9 The pragmas could also prevent the compiler from merging the parallel regions for different loops into a single parallel region. The limitation for concurrency or processors specified by the new pragmas applies to the current parallel region that contains the loop with the pragmas. The compiler must ensure that all loops in a parallel region have the same limits for max processors and max concurrency. If the loops do not have matching limits, the compiler will put them in different parallel regions to ensure the user's limits on processors and/or concurrency can be correctly applied. This could potentially have a negative impact on the performance of a user's application because more time will be spent tearing down and starting new parallel regions. In the case of nested parallel regions, any limitations for concurrency or processors specified with the pragmas on either region do not affect the other region. For example, if the outer parallel region has a max 8 processors, that pragma will not affect the inner parallel region because the pragmas apply to the current parallel region only. The user can determine what loops the compiler placed in a parallel region by looking at the canal output. The “Additional Loop Details” shows which parallel region a loop is in, and the details for parallel regions state what limits for processors or concurrency (if any) are being applied to the region. The following is an example of two loops that have matching limits for max n processors that could be fused and placed into one parallel region by the compiler. #pragma mta max 64 processors for(i = 0; i < size; i++) array[i] = i; #pragma mta max 64 processors for(i = 0; i < size; i++) { array[i] += array[i] + (size + i); } The following is an example of two loops that cannot be fused or put into one parallel region because the loops specify different limits for the max processors. #pragma mta max 256 processors for(i = 0; i < size; i++) array[i] = i; #pragma mta max 512 processors for(i = 0; i < size; i++) { array[i] += array[i] + (size + i); } The following is another example of two loops that cannot be fused or put into one parallel region because the loops specify different limits for the max processors. The first loop does not use the max n processors pragma, which implies there is no user specified limit. for(i = 0; i < size; i++) array[i] = i;Limiting Loop Parallelism in Cray XMT™ Application S–0027–14 Cray Inc. 10 #pragma mta max 512 processors for(i = 0; i < size; i++) { array[i] += array[i] + (size + i); } Use Case: Applying Max Processors Pragma to GraphCT An example application that uses nested parallelism to improve system utilization and reduce contention on shared data structures is GraphCT (Graph Characterization Toolkit) [1]. GraphCT consists of multiple kernels that perform operations on a graph and the kernel focused on in this example is betweenness centrality. The betweenness centrality kernel of GraphCT is executed concurrently by a small number of threads using loop future parallelism, and each thread uses multiprocessor parallelism to compute the betweenness centrality of a node. The betweenness centrality kernel of GraphCT can see significant variance in performance due to issues with load balancing across the threads. The max n processors pragma can be used to help improve load balancing and increase utilization by evenly distributing the processors across the threads. The betweenness centrality kernel of GraphCT consists of two functions, kcentrality and kcent_core. The kcentrality function creates a small number of threads using loop future parallelism, and each of those threads calls kcent_core to compute the betweenness centrality for the nodes in the graph. Both of these functions were updated to make use of the new max n processors pragma. The changes to kcent_core are limited to applying the max n processors pragma to each parallel loop in the function. The limit for the number of processors to use per thread was determined experimentally based on the default number of threads created in kcentrality in the release version 0.4 of GraphCT, which is 20. This would give each thread approximately 6 processors on a 128P XMT system if each thread got the same number of processors. This led to trying a limit of 8 processors per thread in kcent_core. Experiments showed that using 8 processors per thread performed better than the release version of GraphCT with 20 threads and no max n processors pragmas. A power of two was chosen so the number of processors in the system could be easily divided by the number of processors used per thread. A limit of 16 processors per thread was also tested and was shown to have reasonable performance that could be very similar to the performance with a limit of 8, especially for larger graphs (scale >= 28). The following code snippets show how the max n processors pragma was used for each loop in kcent_core. In these examples, MAX_PROCS is a preprocessor macro that has been defined as 8. <...> #pragma mta max MAX_PROCS processors #pragma mta assert nodep for (j = 0; j < NV; j++) {marks[j] = sigma[NV*(K+1) + j] = 0;} <...>Limiting Loop Parallelism in Cray XMT™ Application S–0027–14 Cray Inc. 11 #pragma mta max MAX_PROCS processors #pragma mta assert nodep for (j = 0; j < (K+1)*NV; j++) { dist[j] = -1; sigma[j] = child_count[j] = 0; } <...> #pragma mta max MAX_PROCS processors #pragma mta assert no dependence #pragma mta block dynamic schedule #pragma mta use 100 streams for (j = Qstart; j < Qend; j++) { <...> #pragma mta max MAX_PROCS processors #pragma mta assert nodep #pragma mta assert no alias *sigma *Q *child *start *QHead #pragma mta use 100 streams for (n = QHead[p]; n < QHead[p+1]; n++) { <...> #pragma mta max MAX_PROCS processors for (j=0; j<(K+1)*NV; j++) delta[j] = 0.0; <...> #pragma mta max MAX_PROCS processors #pragma mta assert nodep #pragma mta block dynamic schedule #pragma mta assert no alias *sigma *Q *BC *delta *child *start *QHead #pragma mta use 100 streams for (n = Qstart; n < Qend; n++) { <...> The pragma was used on all parallel loops in the function to ensure that each thread that calls kcent_core is limited to the desired number of processors, which is 8 in this case. Also, because all of the parallel loops in kcent_core have the same limit for the max processors, the compiler will not need to put the loops into different parallel regions because of a mismatch in limits. Grouping the loops into one region can help reduce the cost of going parallel and improve performance by avoiding starting and tearing down multiple parallel regions. The kcentrality function was modified to compute the number of threads at runtime based on the number of processors used by the application and the number of processors used per thread in kcent_core. The number of threads, INC, is a preprocessor macro in version 0.4 of GraphCT. However, the modifications to kcentrality changed INC to a variable that is computed at runtime. The following code snippet shows the changes made to kcentrality. Again, MAX_PROCS used in the example below has been defined as 8.Limiting Loop Parallelism in Cray XMT™ Application S–0027–14 Cray Inc. 12 <...> /*Compute INC based on the number of processors we're using and limiting each thread to MAX_PROCS processors (in kcent_core()).*/ int INC; INC = mta_get_max_teams(); INC = INC / MAX_PROCS; INC = MTA_INT_MAX(1, INC); <...> #pragma mta loop future for(x=0; x for (int claimedk = int_fetch_add (&k, 1); claimedk < Vs; claimedk = int_fetch_add (&k, 1)) { <...> kcent_core(G, BC, K, s, Q, dist, sigma, marks, QHead, child, child_count); <...> } } <...> These changes to GraphCT helped the betweenness centrality kernel have better load balancing across the threads and achieve higher system utilization, which improved the performance and scalability of the kernel. References [1] “GraphCT – Streaming Graph Analysis”, http://trac.research.cc.gatech.edu/graphs/wiki/GraphCT, May 4, 2010. June 2004 version 6.5 TotalView New FeaturesCopyright © 1999–2004 by Etnus LLC. All rights reserved. Copyright © 1996–1998 by Dolphin Interconnect Solutions, Inc. Copyright © 1993–1996 by BBN Systems and Technologies, a division of BBN Corporation. No part of this publication may be reproduced, stored in a retrieval system, or transmitted, in any form or by any means, electronic, mechanical, photocopying, recording, or otherwise without the prior written permission of Etnus LLC. (Etnus). Use, duplication, or disclosure by the Government is subject to restrictions as set forth in subparagraph (c)(1)(ii) of the Rights in Technical Data and Computer Software clause at DFARS 252.227-7013. Etnus has prepared this manual for the exclusive use of its customers, personnel, and licensees. The information in this manual is subject to change without notice, and should not be construed as a commitment by Etnus. Etnus assumes no responsibility for any errors that appear in this document. TotalView and Etnus are registered trademarks of Etnus LLC. TotalView uses a modified version of the Microline widget library. Under the terms of its license, you are entitled to use these modifications. The source code is available at http://www.etnus.com/Products/TotalView/developers. All other brand names are the trademarks of their respective holders.TotalView New Features: 6.5 iii Contents New Features New Platforms and Compilers ................................................................................. 1 New and Changed GUI Features ............................................................................. 2 Tools > Memory Debugging Command Added ....................................................... 2 Node Display in the Variable Window ....................................................................... 4 STL String data types Transformed .......................................................................... 4 Type Transformations ............................................................................................... 4Contents iv 6.5TotalView New Features: version 6.5 1 New Features This booklet contains information about changes made to TotalView for version 6.5. The information in this document is to let you know what changes have occurred. You’ll find descriptions for all changes within the TotalVie w Us e r s Guide. TotalView has many features and it gives you a great number of tools for finding your program’s problems. An easy way to get acquainted with these features is to subscribe to the “Tip of the Week”. If you subscribe to this mailing list, you’ll receive an email message every week that tells you something about TotalView. ¦ All of the tips are archived on our web site at http://www.etnus.com/ Support/Tips/index.html. ¦ If you like what you see, you can subscribe at http://www.etnus.com/ mojo/mojo.cgi. New Platforms and Compilers TotalView now supports the following operating system versions: ¦ Red Hat Fedora Core 1 on x86 architectures. ¦ SuSE Linux Profession 9.0 and SuSe Linux Personal on x86 and x86-64 architectures. TotalView now supports the following compilers: ¦ gcc 3.4.0 for C and C++ on most platforms. ¦ gcc 3.4.0 for Fortran 77 on x86, x86-64, and ia64 Linux. ¦ Intel C and C++ 8.0.066 on x86 and ia64 Linux. ¦ Intel Fortran 8.0.046 on x86 and ia64 Linux ¦ Portland Group C and C++ 5.1 on x86 and x86-64 Linux. For complete information, see the TotalView Platforms Guide.New Features 2 version 6.5 New and Changed GUI Features Tools > Memory Debugging Command Added This release of TotalView adds to the memory debugging features that previously existed within TotalView. It also consolidates memory debugging interactions within one window. The TotalView Memory Debugger can help you locate many of your program’s memory problems. For example, you can: ¦ Stop execution when free(), realloc(), and other heap API problems occur. If your program tries to free memory that it can’t or shouldn’t free, the Memory Debugger can stop execution. This lets you can identify the statement that caused the problem. ¦ List leaks. The Memory Debugger can display your program’s leaks. (Leaks are memory blocks that are allocated, but which are no longer referenced.) When your program allocates a memory block, the Memory Debugger creates a backtrace. When it makes a list of your leaks, it includes this backtrace in the list. This lets you see the place where your program allocated the memory block. ¦ Paint allocated and deallocated blocks. When your program’s memory manager allocates or deallocates memory, the Memory Debugger can write a bit pattern into it. Writing this bit pattern is called painting. When you see this bit pattern in a Variable or Expression List Window, you can tell that you are using memory before your program initializes it or after your program deallocates it. Depending upon the architecture, you might even be able to force an exception when your program accesses this memory. ¦ Identify dangling pointers. A dangling pointer is a pointer that points into deallocated memory. If the pointer being displayed in a Variable is dangling, TotalView adds information to the data element so that you know about the problem. ¦ Hold onto deallocated memory. When trying to identify memory problems, holding on to memory after your program releases it can sometimes help locate problems. Holding onto freed memory is called hoarding. For example, retaining a block can sometimes force a memory error to occur. Or, when coupled with painting, you’ll be able to tell when your program is trying to access deallocated memory.New and Changed GUI Features TotalView New Features 3 After you select the Tools > Memory Debugging command, TotalView displays the following window:New Features 4 version 6.5 If memory debugging is enabled, you can tell the Memory Debugger to display information whenever execution stops. For example, here is a window showing leak information: The Backtrace Pane shows the stack frames that existed when your program allocated a memory block. The Source Pane shows the line where it made the allocation. For more information, see the Debugging Memory Problems Using TotalView document. Node Display in the Variable Window The View > Nodes command was removed. This command was only used when viewing UPC variables. You can see the nodes upon which a variable resides by right-clicking on the column headers and selecting Node. STL String data types Transformed STLView now transforms String data types. Type Transformations The way in which you create type transformations has been simplified. While older methods still work, the new methods are more direct. For information, see the “Creating Type Transformations” chapter of the TotalView Reference Guide. The Type Transformations Guide has been archived on our web site. It is will no longer be updated. However, it may be useful if you are attempting to transform a very difficult data structure or class. PGI ® User’s Guide Parallel Fortran, C and C++ for Scientists and Engineers The Portland Group™ STMicroelectronics Two Centerpointe Drive Lake Oswego, OR 97035While every precaution has been taken in the preparation of this document, The Portland Group™, a wholly-owned subsidiary of STMicroelectronics, makes no warranty for the use of its products and assumes no responsibility for any errors that may appear, or for damages resulting from the use of the information contained herein. The Portland Group retains the right to make changes to this information at any time, without notice. The software described in this document is distributed under license from STMicroelectronics and may be used or copied only in accordance with the terms of the license agreement. No part of this document may be reproduced or transmitted in any form or by any means, for any purpose other than the purchaser's personal use without the express written permission of The Portland Group. Many of the designations used by manufacturers and sellers to distinguish their products are claimed as trademarks. Where those designations appear in this manual, The Portland Group was aware of a trademark claim. The designations have been printed in caps or initial caps. Thanks is given to the Parallel Tools Consortium and, in particular, to the High Performance Debugging Forum for their efforts. PGF95, PGF90, PGC++, Cluster Development Kit, CDK, PGI Unified Binary, PGI Visual Fortran, PVF and The Portland Group are trademarks and PGI, PGHPF, PGF77, PGCC, PGPROF, and PGDBG are registered trademarks of STMicroelectronics, Inc. Other brands and names are the property of their respective owners. The use of STLport, a C++ Library, is licensed separately and license, distribution and copyright notice can be found in the online documentation for a given release of the PGI compilers and tools. PGI ® User’s Guide Copyright © 1998 – 2000 The Portland Group, Inc. Copyright © 2000 – 2006 STMicroelectronics, Inc. All rights reserved. Printed in the United States of America First Printing: Release 1.7, Jun 1998 Second Printing: Release 3.0, Jan 1999 Third Printing: Release 3.1, Sep 1999 Fourth Printing: Release 3.2, Sep 2000 Fifth Printing: Release 4.0, May 2002 Sixth Printing: Release 5.0, Jun 2003 Seventh Printing: Release 5.1, Nov 2003 Eight Printing: Release 5.2, Jun 2004 Ninth Printing: Release 6.0, Mar 2005 Tenth Printing: Release 6.1, Dec 2005 Eleventh Printing: Release 6.2, Aug 2006 Twelfth printing: Release 7.0-1, December, 2006 Thirteenth printing: Release 7.1, October, 2007 Technical support: trs@pgroup.com Sales: sales@pgroup.com Web: www.pgroup.com/iii Contents Preface .................................................................................................................................... xix Audience Description ............................................................................................................ xix Compatibility and Conformance to Standards ............................................................................ xix Organization ......................................................................................................................... xx Hardware and Software Constraints ........................................................................................ xxii Conventions ........................................................................................................................ xxii Related Publications ........................................................................................................... xxvii 1. Getting Started .................................................................................................................... 1 Overview ................................................................................................................................ 1 Invoking the Command-level PGI Compilers ............................................................................... 1 Command-line Syntax ...................................................................................................... 2 Command-line Options .................................................................................................... 3 Fortran Directives and C/C++ Pragmas .............................................................................. 3 Filename Conventions .............................................................................................................. 3 Input Files ..................................................................................................................... 3 Output Files ................................................................................................................... 5 Fortran, C, and C++ Data Types ............................................................................................... 6 Parallel Programming Using the PGI Compilers ........................................................................... 7 Running SMP Parallel Programs ...................................................................................... 7 Running Data Parallel HPF Programs ................................................................................. 8 Platform-specific considerations ................................................................................................ 8 Using the PGI Compilers on Linux .................................................................................... 9 Using the PGI Compilers on Windows .............................................................................. 10 Using the PGI Compilers on SUA and SFU ........................................................................ 11 Using the PGI Compilers on Mac OS X ............................................................................. 11 Site-specific Customization of the Compilers .............................................................................. 12 Using siterc Files ........................................................................................................... 12 Using User rc Files ........................................................................................................ 12 Common Development Tasks .................................................................................................. 13 2. Using Command Line Options ....................................................................................... 15PGI® User’s Guide iv Command Line Option Overview ............................................................................................. 15 Command-line Options Syntax ......................................................................................... 15 Command-line Suboptions .............................................................................................. 16 Command-line Conflicting Options ................................................................................... 16 Help with Command-line Options ............................................................................................ 16 Getting Started with Performance ............................................................................................ 18 Using –fast and –fastsse Options ..................................................................................... 18 Other Performance-related Options ................................................................................. 19 Targeting Multiple Systems; Using the -tp Option ....................................................................... 19 Frequently-used Options ......................................................................................................... 19 3. Using Optimization & Parallelization .......................................................................... 21 Overview of Optimization ....................................................................................................... 21 Local Optimization ........................................................................................................ 22 Global Optimization ....................................................................................................... 22 Loop Optimization: Unrolling, Vectorization, and Parallelization ........................................... 22 Interprocedural Analysis (IPA) and Optimization .............................................................. 22 Function Inlining ........................................................................................................... 22 Profile-Feedback Optimization (PFO) .............................................................................. 22 Getting Started with Optimizations ........................................................................................... 23 Local and Global Optimization using -O .................................................................................. 24 Scalar SSE Code Generation ............................................................................................ 26 Loop Unrolling using –Munroll ............................................................................................... 27 Vectorization using –Mvect ..................................................................................................... 28 Vectorization Sub-options ............................................................................................... 28 Vectorization Example Using SSE/SSE2 Instructions ............................................................ 30 Auto-Parallelization using -Mconcur ......................................................................................... 32 Auto-parallelization Sub-options ...................................................................................... 33 Loops That Fail to Parallelize ......................................................................................... 34 Processor-Specific Optimization and the Unified Binary .............................................................. 36 Interprocedural Analysis and Optimization using –Mipa .............................................................. 37 Building a Program Without IPA – Single Step ................................................................... 37 Building a Program Without IPA - Several Steps ................................................................. 38 Building a Program Without IPA Using Make .................................................................... 38 Building a Program with IPA .......................................................................................... 38 Building a Program with IPA - Single Step ........................................................................ 39 Building a Program with IPA - Several Steps ..................................................................... 39 Building a Program with IPA Using Make ........................................................................ 40 Questions about IPA ...................................................................................................... 40 Profile-Feedback Optimization using –Mpfi/–Mpfo ..................................................................... 41 Default Optimization Levels ..................................................................................................... 42 Local Optimization Using Directives and Pragmas ...................................................................... 42 Execution Timing and Instruction Counting ............................................................................... 43 Portability of Multi-Threaded Programs on Linux ....................................................................... 43 libpgbind ..................................................................................................................... 44 libnuma ....................................................................................................................... 44PGI ® User’s Guide v 4. Using Function Inlining .................................................................................................. 45 Invoking Function Inlining ..................................................................................................... 45 Using an Inline Library .................................................................................................. 46 Creating an Inline Library ...................................................................................................... 47 Working with Inline Libraries ......................................................................................... 48 Updating Inline Libraries - Makefiles ............................................................................... 48 Error Detection during Inlining ............................................................................................... 49 Examples ............................................................................................................................. 49 Restrictions on Inlining .......................................................................................................... 49 5. Using OpenMP .................................................................................................................. 51 Fortran Parallelization Directives ............................................................................................. 51 C/C++ Parallelization Pragmas ............................................................................................... 52 Directive and Pragma Recognition ........................................................................................... 53 Directive and Pragma Summary Table ...................................................................................... 53 Directive and Pragma Clauses ................................................................................................. 54 Run-time Library Routines ...................................................................................................... 55 Environment Variables ........................................................................................................... 59 OMP_DYNAMIC ............................................................................................................ 59 OMP_NESTED ............................................................................................................... 59 OMP_NUM_THREADS ................................................................................................... 59 OMP_SCHEDULE ........................................................................................................... 60 OMP_STACK_SIZE ......................................................................................................... 60 OMP_WAIT_POLICY ...................................................................................................... 60 6. Using Directives and Pragmas ....................................................................................... 63 PGI Proprietary Fortran Directives ........................................................................................... 63 PGI Proprietary C and C++ Pragmas ....................................................................................... 64 PGI Proprietary Optimization Fortran Directive and C/C++ Pragma Summary ................................. 64 Scope of Fortran Directives and Command-Line options ............................................................. 66 Scope of C/C++ Pragmas and Command-Line Options ............................................................... 67 Prefetch Directives ............................................................................................................... 69 Format Requirements .................................................................................................... 70 Sample Usage ............................................................................................................... 70 !DEC$ Directive .................................................................................................................... 70 Format Requirements .................................................................................................... 71 ALIAS Directive ............................................................................................................. 71 ATTRIBUTES Directive ................................................................................................... 71 DISTRIBUTE Directive .................................................................................................... 72 ALIAS Directive ............................................................................................................. 72 C$PRAGMA C ........................................................................................................................ 72 7. Creating and Using Libraries ........................................................................................ 75 Using builtin Math Functions in C/C++ .................................................................................... 75 Creating and Using Shared Object Files on Linux ....................................................................... 76PGI® User’s Guide vi Creating and Using Shared Object Files in SFU and 32-bit SUA ..................................................... 77 Shared Object Error Message ......................................................................................... 78 Shared Object-Related Compiler Switches ......................................................................... 78 PGI Runtime Libraries on Windows ......................................................................................... 79 Creating and Using Static Libraries on Windows ........................................................................ 79 ar command ................................................................................................................ 79 ranlib command ........................................................................................................... 80 Creating and Using Dynamic-Link Libraries on Windows ............................................................. 80 Using LIB3F ........................................................................................................................ 88 LAPACK, BLAS and FFTs ......................................................................................................... 88 The C++ Standard Template Library ........................................................................................ 88 8. Using Environment Variables ........................................................................................ 89 Setting Environment Variables ................................................................................................. 89 Setting Environment Variables on Linux ............................................................................ 89 Setting Environment Variables on Windows ....................................................................... 90 Setting Environment Variables on Mac OSX ....................................................................... 90 PGI-Related Environment Variables .......................................................................................... 91 PGI Environment Variables ..................................................................................................... 92 FLEXLM_BATCH ............................................................................................................ 93 FORTRAN_OPT ............................................................................................................. 93 GMON_OUT_PREFIX ...................................................................................................... 93 LD_LIBRARY_PATH ....................................................................................................... 93 LM_LICENSE_FILE ......................................................................................................... 93 MANPATH .................................................................................................................... 94 MPSTKZ ....................................................................................................................... 94 MP_BIND ..................................................................................................................... 94 MP_BLIST .................................................................................................................... 95 MP_SPIN ..................................................................................................................... 95 MP_WARN ................................................................................................................... 95 NCPUS ......................................................................................................................... 96 NCPUS_MAX ................................................................................................................. 96 NO_STOP_MESSAGE ...................................................................................................... 96 PATH ........................................................................................................................... 96 PGI ............................................................................................................................. 96 PGI_CONTINUE ............................................................................................................. 97 PGI_OBJSUFFIX ............................................................................................................. 97 PGI_STACK_USAGE ........................................................................................................ 97 PGI_TERM ................................................................................................................... 97 PGI_TERM_DEBUG ....................................................................................................... 99 PWD ............................................................................................................................ 99 STATIC_RANDOM_SEED ................................................................................................. 99 TMP .......................................................................................................................... 100 TMPDIR ..................................................................................................................... 100 Using Environment Modules ................................................................................................. 100 Stack Traceback and JIT Debugging ....................................................................................... 101PGI ® User’s Guide vii 9. Distributing Files - Deployment .................................................................................. 103 Deploying Applications on Linux ............................................................................................ 103 Runtime Library Considerations ..................................................................................... 103 64-bit Linux Considerations .......................................................................................... 104 Linux Redistributable Files ............................................................................................ 104 Restrictions on Linux Portability .................................................................................... 104 Installing the Linux Portability Package ........................................................................... 104 Licensing for Redistributable Files ................................................................................. 105 Deploying Applications on Windows ....................................................................................... 105 PGI Redistributables .................................................................................................... 105 Microsoft Redistributables ............................................................................................ 105 Code Generation and Processor Architecture ........................................................................... 106 Generating Generic x86 Code ........................................................................................ 106 Generating Code for a Specific Processor ........................................................................ 106 Generating Code for Multiple Types of Processors in One Executable .......................................... 106 Unified Binary Command-line Switches ........................................................................... 107 Unified Binary Directives and Pragma ............................................................................. 107 10. Inter-language Calling ................................................................................................ 109 Overview of Calling Conventions ............................................................................................ 109 Inter-language Calling Considerations ..................................................................................... 110 Functions and Subroutines ................................................................................................... 110 Upper and Lower Case Conventions, Underscores .................................................................... 111 Compatible Data Types ......................................................................................................... 111 Fortran Named Common Blocks .................................................................................... 112 Argument Passing and Return Values ..................................................................................... 113 Passing by Value (%VAL) ............................................................................................. 113 Character Return Values ............................................................................................... 113 Complex Return Values ................................................................................................ 114 Array Indices ...................................................................................................................... 114 Examples ........................................................................................................................... 115 Example - Fortran Calling C .......................................................................................... 115 Example - C Calling Fortran .......................................................................................... 115 Example - C ++ Calling C ............................................................................................ 116 Example - C Calling C++ ............................................................................................. 117 Example - Fortran Calling C++ ..................................................................................... 118 Example - C++ Calling Fortran ..................................................................................... 119 Win32 Calling Conventions ................................................................................................... 120 Win32 Fortran Calling Conventions ................................................................................ 120 Symbol Name Construction and Calling Example .............................................................. 121 Using the Default Calling Convention .............................................................................. 122 Using the STDCALL Calling Convention ............................................................................ 122 Using the C Calling Convention ...................................................................................... 122 Using the UNIX Calling Convention ................................................................................. 123 11. Programming Considerations for 64-Bit Environments ....................................... 125PGI® User’s Guide viii Data Types in the 64-Bit Environment .................................................................................... 125 C/C++ Data Types ....................................................................................................... 126 Fortran Data Types ...................................................................................................... 126 Large Static Data in Linux ..................................................................................................... 126 Large Dynamically Allocated Data .......................................................................................... 126 64-Bit Array Indexing .......................................................................................................... 126 Compiler Options for 64-bit Programming .............................................................................. 127 Practical Limitations of Large Array Programming .................................................................... 128 Example: Medium Memory Model and Large Array in C ............................................................ 129 Example: Medium Memory Model and Large Array in Fortran .................................................... 130 Example: Large Array and Small Memory Model in Fortran ....................................................... 131 12. C/C++ Inline Assembly and Intrinsics ..................................................................... 133 Inline Assembly ................................................................................................................... 133 Extended Inline Assembly ..................................................................................................... 134 Output Operands ......................................................................................................... 135 Input Operands ........................................................................................................... 137 Clobber List ................................................................................................................ 138 Additional Constraints .................................................................................................. 139 Operand Aliases .......................................................................................................... 145 Assembly String Modifiers ............................................................................................. 145 Extended Asm Macros .................................................................................................. 147 Intrinsics ............................................................................................................................ 148 13. Fortran, C and C++ Data Types ................................................................................ 151 Fortran Data Types .............................................................................................................. 151 Fortran Scalars ........................................................................................................... 151 FORTRAN 77 Aggregate Data Type Extensions .................................................................. 153 Fortran 90 Aggregate Data Types (Derived Types) ............................................................ 154 C and C++ Data Types ....................................................................................................... 154 C and C++ Scalars ...................................................................................................... 154 C and C++ Aggregate Data Types .................................................................................. 156 Class and Object Data Layout ........................................................................................ 156 Aggregate Alignment .................................................................................................... 157 Bit-field Alignment ....................................................................................................... 158 Other Type Keywords in C and C++ .............................................................................. 158 14. C++ Name Mangling ................................................................................................... 159 Types of Mangling ............................................................................................................... 160 Mangling Summary .............................................................................................................. 160 Type Name Mangling ................................................................................................... 160 Nested Class Name Mangling ......................................................................................... 161 Local Class Name Mangling ........................................................................................... 161 Template Class Name Mangling ..................................................................................... 161 15. Command-Line Options Reference ........................................................................... 163PGI ® User’s Guide ix PGI Compiler Option Summary ............................................................................................. 163 Build-Related PGI Options ............................................................................................ 163 PGI Debug-Related Compiler Options ............................................................................. 166 PGI Optimization-Related Compiler Options .................................................................... 167 PGI Linking and Runtime-Related Compiler Options ......................................................... 167 C and C++ Compiler Options ............................................................................................... 168 Generic PGI Compiler Options .............................................................................................. 170 C and C++ -specific Compiler Options ................................................................................... 208 –M Options by Category ....................................................................................................... 219 –M Code Generation Controls .......................................................................... 220 –M C/C++ Language Controls .......................................................................... 223 –M Environment Controls ................................................................................ 225 –M Fortran Language Controls ......................................................................... 226 –M Inlining Controls ....................................................................................... 228 –M Optimization Controls ................................................................................ 229 –M Miscellaneous Controls .............................................................................. 238 16. OpenMP Reference Information ............................................................................... 243 Parallelization Directives and Pragmas ................................................................................... 243 ATOMIC ............................................................................................................................ 244 BARRIER ............................................................................................................................ 244 CRITICAL ... END CRITICAL and omp critical .......................................................................... 245 C$DOACROSS .................................................................................................................... 246 DO ... END DO and omp for ................................................................................................ 247 FLUSH and omp flush pragma .............................................................................................. 249 MASTER ... END MASTER and omp master pragma ................................................................. 250 ORDERED ......................................................................................................................... 251 PARALLEL ... END PARALLEL and omp parallel ....................................................................... 251 PARALLEL DO .................................................................................................................... 254 PARALLEL SECTIONS ........................................................................................................... 255 PARALLEL WORKSHARE ....................................................................................................... 256 SECTIONS … END SECTIONS .............................................................................................. 257 SINGLE ... END SINGLE ........................................................................................................ 257 THREADPRIVATE ................................................................................................................ 258 WORKSHARE ... END WORKSHARE ......................................................................................... 259 Directive and Pragma Clauses ............................................................................................... 260 Schedule Clause .......................................................................................................... 261 17. Directives and Pragmas Reference ........................................................................... 263 PGI Proprietary Fortran Directive and C/C++ Pragma Summary ................................................. 263 altcode (noaltcode) ............................................................................................................ 263 assoc (noassoc) .................................................................................................................. 264 bounds (nobounds) ........................................................................................................... 265 cncall (nocncall) ................................................................................................................ 265 concur (noconcur) ............................................................................................................ 265 depchk (nodepchk) ............................................................................................................ 265PGI® User’s Guide x eqvchk (noeqvchk) ............................................................................................................ 265 fcon (nofcon) ..................................................................................................................... 265 invarif (noinvarif) ............................................................................................................... 265 ivdep ................................................................................................................................. 266 lstval (nolstval) ................................................................................................................... 266 opt .................................................................................................................................... 266 safe (nosafe) ...................................................................................................................... 266 safe_lastval ......................................................................................................................... 266 safeptr (nosafeptr) .............................................................................................................. 267 single (nosingle) ................................................................................................................. 268 tp ...................................................................................................................................... 268 unroll (nounroll) ................................................................................................................ 268 vector (novector) ................................................................................................................ 269 vintr (novintr) .................................................................................................................... 269 18. Run-time Environment ................................................................................................ 271 Linux86 and Win32 Programming Model ................................................................................ 271 Function Calling Sequence ............................................................................................ 271 Function Return Values ................................................................................................ 273 Argument Passing ........................................................................................................ 275 Linux86-64 Programming Model ........................................................................................... 277 Function Calling Sequence ............................................................................................ 278 Function Return Values ................................................................................................ 280 Argument Passing ........................................................................................................ 281 Linux86-64 Fortran Supplement .................................................................................... 283 Win64 Programming Model .................................................................................................. 287 Function Calling Sequence ............................................................................................ 288 Function Return Values ................................................................................................ 290 Argument Passing ........................................................................................................ 291 Win64/SUA64 Fortran Supplement ................................................................................. 293 19. C++ Dialect Supported ............................................................................................... 299 Extensions Accepted in Normal C++ Mode ............................................................................. 299 cfront 2.1 Compatibility Mode ............................................................................................... 300 cfront 2.1/3.0 Compatibility Mode ......................................................................................... 301 20. C/C++ MMX/SSE Inline Intrinsics ............................................................................. 303 Using Intrinsic functions ....................................................................................................... 303 Required Header File ................................................................................................... 304 Intrinsic Data Types ..................................................................................................... 304 Intrinsic Example ........................................................................................................ 304 MMX Intrinsics ................................................................................................................... 305 SSE Intrinsics ...................................................................................................................... 306 ABM Intrinsics .................................................................................................................... 309 21. Fortran Module/Library Interfaces ........................................................................... 311PGI ® User’s Guide xi Data Types ......................................................................................................................... 311 Using DFLIB and DFPORT .................................................................................................... 312 DFLIB ........................................................................................................................ 312 DFPORT ..................................................................................................................... 312 Using the DFWIN module ..................................................................................................... 312 Supported Libraries and Modules .......................................................................................... 313 advapi32 .................................................................................................................... 313 comdlg32 ................................................................................................................... 315 dfwbase ..................................................................................................................... 315 dfwinty ....................................................................................................................... 315 gdi32 ......................................................................................................................... 316 kernel32 .................................................................................................................... 319 shell32 ....................................................................................................................... 327 user32 ....................................................................................................................... 327 winver ....................................................................................................................... 331 wsock32 .................................................................................................................... 332 22. Messages ........................................................................................................................ 333 Diagnostic Messages ............................................................................................................ 333 Phase Invocation Messages ................................................................................................... 334 Fortran Compiler Error Messages .......................................................................................... 334 Message Format .......................................................................................................... 334 Message List ............................................................................................................... 334 Fortran Runtime Error Messages ........................................................................................... 360 Message Format .......................................................................................................... 360 Message List ............................................................................................................... 360 Index ...................................................................................................................................... 363xiixiii Figures 13.1. Internal Padding in a Structure ............................................................................................. 157 13.2. Tail Padding in a Structure ................................................................................................... 158xivxv Tables 1. PGI Compilers and Commands .................................................................................................. xxvi 2. Processor Options ................................................................................................................... xxvi 1.1. Stop-after Options, Inputs and Outputs ........................................................................................ 5 1.2. Examples of Using siterc and User rc Files ................................................................................. 13 2.1. Commonly Used Command Line Options .................................................................................... 20 3.1. Optimization and –O, –g and –M Options ........................................................................ 42 5.1. Directive and Pragma Summary Table ....................................................................................... 53 5.2. Run-time Library Call Summary ................................................................................................ 55 5.3. OpenMP-related Environment Variable Summary Table ................................................................ 59 6.1. Proprietary Optimization-Related Fortran Directive and C/C++ Pragma Summary ............................. 65 8.1. PGI-related Environment Variable Summary Table ....................................................................... 91 8.2. Supported PGI_TERM Values ................................................................................................... 98 10.1. Fortran and C/C++ Data Type Compatibility ............................................................................ 111 10.2. Fortran and C/C++ Representation of the COMPLEX Type ......................................................... 112 10.3. Calling Conventions Supported by the PGI Fortran Compilers ..................................................... 120 11.1. 64-bit Compiler Options ....................................................................................................... 127 11.2. Effects of Options on Memory and Array Sizes ......................................................................... 127 11.3. 64-Bit Limitations ................................................................................................................ 128 12.1. Simple Constraints ............................................................................................................... 139 12.2. x86/x86_64 Machine Constraints .......................................................................................... 141 12.3. Multiple Alternative Constraints ............................................................................................. 143 12.4. Constraint Modifier Characters .............................................................................................. 144 12.5. Assembly String Modifier Characters ...................................................................................... 145 12.6. Intrinsic Header File Organization ......................................................................................... 148 13.1. Representation of Fortran Data Types ..................................................................................... 151 13.2. Real Data Type Ranges ........................................................................................................ 152 13.3. Scalar Type Alignment ......................................................................................................... 152 13.4. C/C++ Scalar Data Types ..................................................................................................... 154 13.5. Scalar Alignment ................................................................................................................. 155 15.1. PGI Build-Related Compiler Options ...................................................................................... 164 15.2. PGI Debug-Related Compiler Options ..................................................................................... 166 15.3. Optimization-Related PGI Compiler Options ............................................................................ 167 15.4. Linking and Runtime-Related PGI Compiler Options ................................................................. 167PGI® User’s Guide xvi 15.5. C and C++ -specific Compiler Options ................................................................................... 168 15.6. Subgroups for –help Option ................................................................................................. 179 15.7. –M Options Summary .......................................................................................................... 185 15.8. Optimization and –O, –g, –Mvect, and –Mconcur Options ........................................................ 193 16.1. Initialization of REDUCTION Variables .................................................................................... 253 16.2. Directive and Pragma Clauses .............................................................................................. 260 18.1. Register Allocation .............................................................................................................. 271 18.2. Standard Stack Frame .......................................................................................................... 272 18.3. Stack Contents for Functions Returning struct/union ................................................................. 274 18.4. Integral and Pointer Arguments ............................................................................................. 275 18.5. Floating-point Arguments ...................................................................................................... 275 18.6. Structure and Union Arguments ............................................................................................ 276 18.7. Register Allocation .............................................................................................................. 278 18.8. Standard Stack Frame .......................................................................................................... 278 18.9. Register Allocation for Example A-2 ....................................................................................... 282 18.10. Linux86-64 Fortran Fundamental Types ................................................................................ 284 18.11. Fortran and C/C++ Data Type Compatibility .......................................................................... 285 18.12. Fortran and C/C++ Representation of the COMPLEX Type ....................................................... 286 18.13. Register Allocation ............................................................................................................. 288 18.14. Standard Stack Frame ........................................................................................................ 288 18.15. Register Allocation for Example A-4 ..................................................................................... 292 18.16. Win64 Fortran Fundamental Types ....................................................................................... 293 18.17. Fortran and C/C++ Data Type Compatibility .......................................................................... 295 18.18. Fortran and C/C++ Representation of the COMPLEX Type ....................................................... 296 20.1. MMX Intrinsics (mmintrin.h) ................................................................................................ 305 20.2. SSE Intrinsics (xmmintrin.h) ................................................................................................ 306 20.3. SSE2 Intrinsics (emmintrin.h) ............................................................................................. 307 20.4. SSE3 Intrinsics (pmmintrin.h) .............................................................................................. 309 20.5. SSSE3 Intrinsics (tmmintrin.h) .............................................................................................. 309 20.6. SSE4a Intrinsics (ammintrin.h) ............................................................................................. 309 20.7. SSE4a Intrinsics (intrin.h) .................................................................................................... 310 21.1. Fortran Data Type Mappings ................................................................................................. 311xvii Examples 1.1. Hello program ......................................................................................................................... 2 2.1. Makefiles with Options ............................................................................................................ 16 3.1. Dot Product Code ................................................................................................................... 27 3.2. Unrolled Dot Product Code ...................................................................................................... 27 3.3. Vector operation using SSE instructions ..................................................................................... 31 3.4. Using SYSTEM_CLOCK code fragment ........................................................................................ 43 4.1. Sample Makefile ..................................................................................................................... 48 6.1. Prefetch Directive Use ............................................................................................................. 70 7.1. Build a DLL: Fortran ............................................................................................................... 82 7.2. Build a DLL: C ....................................................................................................................... 83 7.3. Build DLLs Containing Circular Mutual Imports: C ....................................................................... 84 7.4. Build DLLs Containing Circular Mutual Imports: Fortran ............................................................... 86 7.5. Import a Fortran module from a DLL ........................................................................................ 87 10.1. Character Return Parameters ................................................................................................ 114 10.2. COMPLEX Return Values ...................................................................................................... 114 10.3. Fortran Main Program fmain.f .............................................................................................. 115 10.4. C function cfunc_ ............................................................................................................... 115 10.5. Fortran Subroutine forts.f ..................................................................................................... 116 10.6. C Main Program cmain.c ..................................................................................................... 116 10.7. Simple C Function cfunc.c .................................................................................................... 116 10.8. C++ Main Program cpmain.C Calling a C Function .................................................................. 117 10.9. Simple C++ Function cpfunc.C with Extern C .......................................................................... 117 10.10. C Main Program cmain.c Calling a C++ Function .................................................................. 117 10.11. Fortran Main Program fmain.f calling a C++ function ............................................................ 118 10.12. C++ function cpfunc.C ...................................................................................................... 118 10.13. Fortran Subroutine forts.f ................................................................................................... 119 10.14. C++ main program cpmain.C ............................................................................................. 119 18.1. C Program Calling an Assembly-language Routine .................................................................... 277 18.2. Parameter Passing ............................................................................................................... 282 18.3. C Program Calling an Assembly-language Routine .................................................................... 283 18.4. Parameter Passing ............................................................................................................... 291 18.5. C Program Calling an Assembly-language Routine .................................................................... 293xviiixix Preface This guide is part of a set of manuals that describe how to use The Portland Group (PGI) Fortran, C, and C++ compilers and program development tools. These compilers and tools include the PGF77, PGF95, PGHPF, PGC++, and PGCC ANSI C compilers, the PGPROF profiler, and the PGDBG debugger. They work in conjunction with an x86 or x64 assembler and linker. You can use the PGI compilers and tools to compile, debug, optimize, and profile serial and parallel applications for x86 (Intel Pentium II/III/4/M, Intel Centrino, Intel Xeon, AMD Athlon XP/MP) or x64 (AMD Athlon64/Opteron/Turion, Intel EM64T, Intel Core Duo, Intel Core 2 Duo) processor-based systems. The PGI User's Guide provides operating instructions for the PGI command-level development environment. It also contains details concerning the PGI compilers' interpretation of the Fortran language, implementation of Fortran language extensions, and command-level compilation. Users are expected to have previous experience with or knowledge of the Fortran programming language. Audience Description This manual is intended for scientists and engineers using the PGI compilers. To use these compilers, you should be aware of the role of high-level languages, such as Fortran, C, and C++, as well as assembly-language in the software development process; and you should have some level of understanding of programming. The PGI compilers are available on a variety of x86 or x64 hardware platforms and operating systems. You need to be familiar with the basic commands available on your system. Compatibility and Conformance to Standards Your system needs to be running a properly installed and configured version of the compilers. For information on installing PGI compilers and tools, refer to the Release and Installation notes included with your software. For further information, refer to the following: • American National Standard Programming Language FORTRAN, ANSI X3. -1978 (1978). • ISO/IEC 1539-1 : 1991, Information technology – Programming Languages – Fortran, Geneva, 1991 (Fortran 90). • ISO/IEC 1539-1 : 1997, Information technology – Programming Languages – Fortran, Geneva, 1997 (Fortran 95).PGI® User’s Guide xx • Fortran 95 Handbook Complete ISO/ANSI Reference, Adams et al, The MIT Press, Cambridge, Mass, 1997. • High Performance Fortran Language Specification, Revision 1.0, Rice University, Houston, Texas (1993), http://www.crpc.rice.edu/HPFF. • High Performance Fortran Language Specification, Revision 2.0, Rice University, Houston, Texas (1997), http://www.crpc.rice.edu/HPFF. • OpenMP Application Program Interface, Version 2.5, May 2005, http://www.openmp.org. • Programming in VAX Fortran, Version 4.0, Digital Equipment Corporation (September, 1984). • IBM VS Fortran, IBM Corporation, Rev. GC26-4119. • Military Standard, Fortran, DOD Supplement to American National Standard Programming Language Fortran, ANSI x.3-1978, MIL-STD-1753 (November 9, 1978). • American National Standard Programming Language C, ANSI X3.159-1989. • ISO/IEC 9899:1999, Information technology – Programming Languages – C, Geneva, 1999 (C99). Organization Users typically begin by wanting to know how to use a product and often then find that they need more information and facts about specific areas of the product. Knowing how as well as why you might use certain options or perform certain tasks is key to using the PGI compilers and tools effectively and efficiently. However, once you have this knowledge and understanding, you very likely might find yourself wanting to know much more about specific areas or specific topics. Consequently, his manual is divided into the following two parts: • Part I, Compiler Usage, contains the essential information on how to use the compiler. • Part II, Reference Information, contains more detailed reference information about specific aspects of the compiler, such as the details of compiler options, directives, and more. Part I, Compiler Usage, contains these chapters: Chapter 1, “Getting Started” provides an introduction to the PGI compilers and describes their use and overall features. Chapter 2, “Using Command Line Options” provides an overview of the command-line options as well as task-related lists of options. Chapter 3, “Using Optimization & Parallelization” describes standard optimization techniques that, with little effort, allow users to significantly improve the performance of programs. Chapter 4, “Using Function Inlining” describes how to use function inlining and shows how to create an inline library. Chapter 5, “Using OpenMP” provides a description of the OpenMP Fortran parallelization directives and of the OpenMP C and C++ parallelization pragmas and shows examples of their use. Chapter 6, “Using Directives and Pragmas” provides a description of each Fortran optimization directive and C/C++ optimization pragma, and shows examples of their use.Preface xxi Chapter 7, “Creating and Using Libraries” discusses PGI support libraries, shared object files, and environment variables that affect the behavior of the PGI compilers. Chapter 8, “ Using Environment Variables” describes the environment variables that affect the behavior of the PGI compilers. Chapter 9, “Distributing Files - Deployment” describes the deployment of your files once you have built, debugged and compiled them successfully. Chapter 10, “Inter-language Calling” provides examples showing how to place C Language calls in a Fortran program and Fortran Language calls in a C program. Chapter 11, “Programming Considerations for 64-Bit Environments” discusses issues of which programmers should be aware when targeting 64-bit processors. Chapter 12, “C/C++ Inline Assembly and Intrinsics” describes how to use inline assembly code in C and C++ programs, as well as how to use intrinsic functions that map directly to x86 and x64 machine instructions. Part II, Reference Information, contains these chapters: Chapter 13, “Fortran, C and C++ Data Types” describes the data types that are supported by the PGI Fortran, C, and C++ compilers. Chapter 14, “C++ Name Mangling” describes the name mangling facility and explains the transformations of names of entities to names that include information on aspects of the entity’s type and a fully qualified name. Chapter 15, “Command-Line Options Reference” provides a detailed description of each command-line option. Chapter 16, “OpenMP Reference Information”contains detailed descriptions of each of the OpenMP directives and pragmas that PGI supports. Chapter 17, “Directives and Pragmas Reference”contains detailed descriptions of PGI’s proprietary directives and pragmas. Chapter 18, “Run-time Environment” describes the assembly language calling conventions and examples of assembly language calls. Chapter 19, “C++ Dialect Supported” lists more details of the version of the C++ language that PGC++ supports. Chapter 20, “C/C++ MMX/SSE Inline Intrinsics,” on page 303 provides tables that list the MMX Inline Intrinsics (mmintrin.h), the SSE1 inline intrinsics (xmmintrin.h), and SSE2 inline intrinsics (emmintrin.h). Chapter 21, “Fortran Module/Library Interfaces” provides a description of the Fortran module library interfaces that PVF supports, describing each property available. Chapter 22, “Messages” provides a list of compiler error messages.PGI® User’s Guide xxii Hardware and Software Constraints This guide describes versions of the PGI compilers that produce assembly code for x86 and x64 processorbased systems. Details concerning environment-specific values and defaults and system-specific features or limitations are presented in the release notes delivered with the PGI compilers. Conventions The PGI User's Guide uses the following conventions: italic Italic font is for commands, filenames, directories, arguments, options and for emphasis. Constant Width Constant width font is for examples and for language statements in the text, including assembly language statements. [ item1 ] Square brackets indicate optional items. In this case item1 is optional. { item2 | item 3} Braces indicate that a selection is required. In this case, you must select either item2 or item3. filename... Ellipsis indicate a repetition. Zero or more of the preceding item may occur. In this example, multiple filenames are allowed. FORTRAN Fortran language statements are shown in the text of this guide using upper-case characters and a reduced point size. The PGI compilers and tools are supported on both 32-bit and 64-bit variants of Linux, Windows, and Mac OS operating systems on a variety of x86-compatible processors. There are a wide variety of releases and distributions of each of these types of operating systems. The PGI User’s Guide defines the following terms with respect to these platforms: AMD64 a 64-bit processor from AMD, designed to be binary compatible with IA32 processors, and incorporating new features such as additional registers and 64-bit addressing support for improved performance and greatly increased memory range. Barcelona the Quad-Core AMD Opteron(TM) Processor, that is, Opteron Rev x10 DLL a dynamic linked library on Win32 or Win64 platforms of the form xxx.dll containing objects that are dynamically linked into a program at the time of execution. driver the compiler driver controls the compiler, linker, and assembler, and adds objects and libraries to create an executable. The -dryrun option illustrates operation of the driver. pgf77, pgf95, pghpf, pgcc, pgCCPreface xxiii (Linux), and pgcpp are drivers for the PGI compilers. A pgf90 driver is retained for compatibility with existing makefiles, even though pgf90 and pgf95 drivers are identical. Dual-core Dual-, Quad-, or Multi-core - some x64 CPUs incorporate two or four complete processor cores (functional units, registers, level 1 cache, level 2 cache, etc) on a single silicon die. These are referred to as Dual-core or Quad-core (in general, Multi-core) processors. For purposes of OpenMP or auto-parallel threads, or MPI process parallelism, these cores function as distinct processors. However, the processing cores are on a single chip occupying a single socket on the system motherboard. In PGI 7.1, there are no longer software licensing limits on OpenMP threads for Multi-core. EM64T a 64-bit IA32 processor with Extended Memory 64-bit Technology extensions that are binary compatible with AMD64 processors. This includes Intel Pentium 4, Intel Xeon, and Intel Core 2 processors. hyperthreading (HT) some IA32 CPUs incorporate extra registers that allow 2 threads to run on a single CPU with improved performance for some tasks. This is called hyperthreading and abbreviated HT. Some linux86 and linux86-64 environments treat IA32 CPUs with HT as though there were a 2nd pseudo CPU, even though there is only one physical CPU. Unless the Linux kernel is hyperthread-aware, the second thread of an OpenMP program will be assigned to the pseudo CPU, rather than a real second physical processor (if one exists in the system). OpenMP Programs can run very slowly if the second thread is not properly assigned. IA32 an Intel Architecture 32-bit processor, designed to be binary compatible with x86 processors, and incorporating new features such as streaming SIMD extensions (SSE) for improved performance. Large Arrays arrays with aggregate size larger than 2GB, which require 64-bit index arithmetic for accesses to elements of arrays. If -Mlarge_arrays is specified and -mcmodel=medium is not specified, the default small memory model is used, and all index arithmetic is performed in 64-bits. This can be a useful mode of execution for certain existing 64-bit applications that use the small memory model but allocate and manage a single contiguous data space larger than 2GB. linux86 32-bit Linux operating system running on an x86 or x64 processor-based system, with 32-bit GNU tools, utilities and libraries used by the PGI compilers to assemble and link for 32-bit execution. linux86-64 64-bit Linux operating system running on an x64 processor-based system, with 64-bit and 32-bit GNU tools, utilities and libraries used by the PGI compilers to assemble and link for execution in either linux86 or linux86-64 environments. The 32-bit development tools and execution environment under linux86-64 are considered a cross development environment for x86 processor-based applications. Mac OS X collectively, all osx86 and osx86-64 platforms supported by the PGI compilers. -mcmodel=small compiler/linker switch to produce small memory model format objects/executables in which both code (.text) and data (.bss) sections are limited to less than 2GB. This switch is the default and only possible format for linux86 32-bit executables. This switch is the default format for linux86-64 executables.PGI® User’s Guide xxiv Maximum address offset range is 32-bits, and total memory used for OS+Code+Data must be less than 2GB. -mcmodel=medium compiler/linker switch to produce medium memory model format objects/executables in which code sections are limited to less than 2GB, but data sections can be greater than 2GB. This option is supported only in linux86-64 environments. It must be used to compile any program unit that will be linked in to a 64-bit executable that will use aggregate data sets larger than 2GB and will access data requiring address offsets greater than 2GB. This option must be used to link any 64-bit executable that will use aggregate data sets greater than 2GB in size. Executables linked using -mcmodel=medium can incorporate objects compiled using -mcmodel=small as long as the small objects are from a shared library. NUMA A type of multi-processor system architecture in which the memory latency from a given processor to a given portion of memory can vary, resulting in the possibility for compiler or programming optimizations to ensure frequently accessed data is "close" to a given processor as determined by memory latency. osx86 32-bit Apple Mac OS Operating Systems running on an x86 Core 2 or Core 2 Duo processor-based system with the 32-bit Apple and GNU tools, utilities, and libraries used by the PGI compilers to assemble and link for 32-bit execution. The PGI Workstation preview supports Mac OS 10.4.9 only. osx86-64 64-bit Apple Mac OS Operating Systems running on an x64 Core 2 Duo processor-based system with the 64-bit and 32-bit Apple and GNU tools, utilities, and libraries used by the PGI compilers to assemble and link for either 64- or 32-bit execution. The PGI Workstation preview supports Mac OS 10.4.9 only. SFU Windows Services for Unix, a 32-bit-only predecessor of SUA, the Subsystem for Unix Applications. See SUA. Shared library a Linux library of the form libxxx.so containing objects that are dynamically linked into a program at the time of execution. SSE collectively, all SSE extensions supported by the PGI compilers. SSE1 32-bit IEEE 754 FPU and associated streaming SIMD extensions (SSE) instructions on Pentium III, AthlonXP* and later 32-bit x86, AMD64 and EM64T compatible CPUs, enabling scalar and packed vector arithmetic on single-precision floating-point data. SSE2 64-bit IEEE 754 FPU and associated SSE instructions on P4/Xeon and later 32-bit x86, AMD64 and EM64T compatible CPUs. SSE2 enables scalar and packed vector arithmetic on double-precision floating-point data. SSE3 additional 32-bit and 64-bit SSE instructions to enable more efficient support of arithmetic on complex floating-point data on 32-bit x86, AMD64 and EM64T compatible CPUs with so-called Prescott NewPreface xxv Instructions (PNI), such as Intel IA32 processors with EM64T extensions and newer generation (Revision E and beyond) AMD64 processors. SSE4A and ABM AMD Instruction Set enhancements for the Quad-Core AMD Opteron Processor. Support for these instructions is enabled by the -tp barcelona or -tp barcelona-64 switch. SSSE3 an extension of the SSE3 instruction set found on the Intel Core 2. Static linking a method of linking: On Linux, use - to ensure all objects are included in a generated executable at link time. Static linking causes objects from static library archives of the form libxxx.a to be linked in to your executable, rather than dynamically linking the corresponding libxxx.so shared library. Static linking of executables linked using the -mcmodel=medium option is supported. On Windows, the Windows linker links statically or dynamically depending on whether the libraries on the link-line are DLL import libraries or static libraries. By default, the static PGI libraries are included on the link line. To link with DLL versions of the PGI libraries instead of static libraries, use the -Mdll option. SUA Subsystem for UNIX-based Applications (SUA) is source-compatibility subsystem for compiling and running custom UNIX-based applications on a computer running 32-bit or 64-bit Windows server-class operating system. It provides an operating system for Portable Operating System Interface (POSIX) processes. SUA supports a package of support utilities (including shells and >300 Unix commands), case-sensitive file names, and job control. The subsystem installs separately from the Windows kernel to support UNIX functionality without any emulation. Win32 any of the 32-bit Microsoft Windows Operating Systems (XP/2000/Server 2003) running on an x86 or x64 processor-based system. On these targets, the PGI compiler products include all of the tools and libraries needed to build executables for 32-bit Windows systems. Win64 any of the 64-bit Microsoft Windows Operating Systems (XP Professional /Windows Server 2003 x64 Editions) running on an x64 processor-based system. On these targets, the PGI compiler products include all of the tools and libraries needed to build executables for 32-bit Windows systems. Windows collectively, all Win32 and Win64 platforms supported by the PGI compilers. x64 collectively, all AMD64 and EM64T processors supported by the PGI compilers. x86 a processor designed to be binary compatible with i386/i486 and previous generation processors from Intel* Corporation. Refers collectively to such processors up to and including 32-bit variants. x87 - 80-bit IEEE stack-based floating-point unit (FPU) and associated instructions on x86-compatible CPUs.PGI® User’s Guide xxvi The following table lists the PGI compilers and tools and their corresponding commands: Table 1. PGI Compilers and Commands Compiler or Tool Language or Function Command PGF77 FORTRAN 77 pgf77 PGF95 Fortran 90/95 pgf95 PGHPF High Performance Fortran pghpf PGCC C ANSI C99 and K&R C pgcc PGC++ ANSI C++ with cfront features pgcpp (pgCC) PGDBG Source code debugger pgdbg PGPROF Performance profiler pgprof In general, the designation PGF95 is used to refer to The Portland Group’s Fortran 90/95 compiler, and pgf95 is used to refer to the command that invokes the compiler. A similar convention is used for each of the PGI compilers and tools. For simplicity, examples of command-line invocation of the compilers generally reference the pgf95 command, and most source code examples are written in Fortran. Usage of the PGF77 compiler, whose features are a subset of PGF95, is similar. Usage of PGHPF, PGC++, and PGCC ANSI C99 is consistent with PGF95 and PGF77, but there are command-line options and features of these compilers that do not apply to PGF95 and PGF77 and vice versa. There are a wide variety of x86-compatible processors in use. All are supported by the PGI compilers and tools. Most of these processors are forward-compatible, but not backward-compatible, meaning that code compiled to target a given processor will not necessarily execute correctly on a previous-generation processor. The following table provides a partial list, including the most important processor types, along with the features utilized by the PGI compilers that distinguish them from a compatibility standpoint: Table 2. Processor Options Processor Prefetch SSE1 SSE2 SSE3 32-bit 64-bit Scalar FP Default AMD Athlon N N N N Y N x87 AMD Athlon XP/MP Y Y N N Y N x87 AMD Athlon64 Y Y Y N Y Y SSE AMD Opteron Y Y Y N Y Y SSE AMD Opteron Rev E Y Y Y Y Y Y SSE AMD Opteron Rev F Y Y Y Y Y Y SSE AMD Turion Y Y Y Y Y Y SSE Intel Celeron N N N N Y N x87Preface xxvii Processor Prefetch SSE1 SSE2 SSE3 32-bit 64-bit Scalar FP Default Intel Pentium II N N N N Y N x87 Intel Pentium III Y Y N N Y N x87 Intel Pentium 4 Y Y Y N Y N SSE Intel Pentium M Y Y Y N Y N SSE Intel Centrino Y Y Y N Y N SSE Intel Pentium 4 EM64T Y Y Y Y Y Y SSE Intel Xeon EM64T Y Y Y Y Y Y SSE Intel Core Duo EM64T Y Y Y Y Y Y SSE Intel Core 2 Duo EM64T Y Y Y Y Y Y SSE In this manual, the convention is to use “x86” to specify the group of processors in the previous table that are listed as “32-bit” but not “64-bit.” The convention is to use “x64” to specify the group of processors that are listed as both “32-bit” and “64-bit.” x86 processor-based systems can run only 32-bit operating systems. x64 processor-based systems can run either 32-bit or 64-bit operating systems, and can execute all 32-bit x86 binaries in either case. x64 processors have additional registers and 64-bit addressing capabilities that are utilized by the PGI compilers and tools when running on a 64-bit operating system. The prefetch, SSE1, SSE2 and SSE3 processor features further distinguish the various processors. Where such distinctions are important with respect to a given compiler option or feature, it is explicitly noted in this manual. Note that the default for performing scalar floating-point arithmetic is to use SSE instructions on targets that support SSE1 and SSE2. See section 2.3.1, Scalar SSE Code Generation, for a detailed discussion of this topic. Related Publications The following documents contain additional information related to the x86 and x64 architectures, and the compilers and tools available from The Portland Group. • PGI Fortran Reference manual describes the FORTRAN 77, Fortran 90/95, and HPF statements, data types, input/output format specifiers, and additional reference material related to use of the PGI Fortran compilers. • System V Application Binary Interface Processor Supplement by AT&T UNIX System Laboratories, Inc. (Prentice Hall, Inc.). • System V Application Binary Interface X86-64 Architecture Processor Supplement, http://www.x86- 64.org/abi.pdf. • Fortran 95 Handbook Complete ISO/ANSI Reference, Adams et al, The MIT Press, Cambridge, Mass, 1997. • Programming in VAX Fortran, Version 4.0, Digital Equipment Corporation (September, 1984). • IBM VS Fortran, IBM Corporation, Rev. GC26-4119. • The C Programming Language by Kernighan and Ritchie (Prentice Hall).PGI® User’s Guide xxviii • C: A Reference Manual by Samuel P. Harbison and Guy L. Steele Jr. (Prentice Hall, 1987). • The Annotated C++ Reference Manual by Margaret Ellis and Bjarne Stroustrup, AT&T Bell Laboratories, Inc. (Addison-Wesley Publishing Co., 1990). • OpenMP Application Program Interface, Version 2.5 May 2005 (OpenMP Architecture Review Board, 1997-2005).1 Chapter 1. Getting Started This chapter describes how to use the PGI compilers. The command used to invoke a compiler, such as the pgf95 command, is called a compiler driver. The compiler driver controls the following phases of compilation: preprocessing, compiling, assembling, and linking. Once a file is compiled and an executable file is produced, you can execute, debug, or profile the program on your system. Executables produced by the PGI compilers are unconstrained, meaning they can be executed on any compatible x86 or x64 processor-based system, regardless of whether the PGI compilers are installed on that system. Overview In general, using a PGI compiler involves three steps: 1. Produce a program source code in a file containing a .f extension or another appropriate extension, as described in “Input Files,” on page 3. This program may be one that you have written or one that you are modifying. 2. Compile the program using the appropriate compiler command. 3. Execute, debug, or profile the executable file on your system. You might also want to deploy your application, though this is not a required step. The PGI compilers allow many variations on these general program development steps. These variations include the following: • Stop the compilation after preprocessing, compiling or assembling to save and examine intermediate results. • Provide options to the driver that control compiler optimization or that specify various features or limitations. • Include as input intermediate files such as preprocessor output, compiler output, or assembler output. Invoking the Command-level PGI Compilers To translate and link a Fortran, C, or C++ program, the pgf77, pgf95, pghpf, pgcc, and pgcpp commands do the following:PGI® User’s Guide 2 1. Preprocess the source text file. 2. Check the syntax of the source text. 3. Generate an assembly language file. 4. Pass control to the subsequent assembly and linking steps. Example 1.1. Hello program Let’s look at a simple example of using the PGI compiler to create, compile, and execute a program that prints hello. Step 1: Create your program. For this example, suppose you enter the following simple Fortran program in the file hello.f: print *, "hello" end Step 2: Compile the program. When you created your program, you called it hello.f. In this example, we compile it from a shell command prompt using the default pgf95 driver option. Use the following syntax: PGI$ pgf95 hello.f PGI$ By default, the executable output is placed in the file a.out, or, on Windows platforms, in a filename based on the name of the first source or object file on the command line. However, you can use the –o option to specify an output file name. To place the executable output in the file hello, use this command: PGI$ pgf95 -o hello hello.f PGI$ Step 3: Execute the program. To execute the resulting hello program, simply type the filename at the command prompt and press the Return or Enter key on your keyboard: PGI$ hello hello PGI$ Command-line Syntax The compiler command-line syntax, using pgf95 as an example, is: pgf95 [options] [path]filename [...] Where: options is one or more command-line options, all of which are described in detail in Chapter 2, “Using Command Line Options”. path is the pathname to the directory containing the file named by filename. If you do not specify the path for a filename, the compiler uses the current directory. You must specify the path separately for each filename not in the current directory.Chapter 1. Getting Started 3 filename is the name of a source file, preprocessed source file, assembly-language file, object file, or library to be processed by the compilation system. You can specify more than one [path]filename. Command-line Options The command-line options control various aspects of the compilation process. For a complete alphabetical listing and a description of all the command-line options, refer to Chapter 2, “Using Command Line Options”. The following list provides important information about proper use of command-line options. • Case is significant for options and their arguments. • The compiler drivers recognize characters preceded by a hyphen (-) as command-line options. For example, the –Mlist option specifies that the compiler creates a listing file. Note The convention for the text of this manual is to show command-line options using a dash instead of a hyphen; for example, you see –Mlist. • The pgcpp command recognizes a group of characters preceded by a plus sign (+) as command-line options. • The order of options and the filename is not fixed. That is, you can place options before and after the filename argument on the command line. However, the placement of some options is significant, such as the –l option, in which the order of the filenames determines the search order. Note If two or more options contradict each other, the last one in the command line takes precedence. Fortran Directives and C/C++ Pragmas You can insert Fortran directives and C/C++ pragmas in program source code to alter the effects of certain command-line options and to control various aspects of the compilation process for a specific routine or a specific program loop. For more information on Fortran directives and C/C++ pragmas, refer to Chapter 5, “Using OpenMP” and Chapter 6, “Using Directives and Pragmas”. Filename Conventions The PGI compilers use the filenames that you specify on the command line to find and to create input and output files. This section describes the input and output filename conventions for the phases of the compilation process. Input Files You can specify assembly-language files, preprocessed source files, Fortran/C/C++ source files, object files, and libraries as inputs on the command line. The compiler driver determines the type of each input file by examining the filename extensions. The drivers use the following conventions:PGI® User’s Guide 4 filename.f indicates a Fortran source file. filename.F indicates a Fortran source file that can contain macros and preprocessor directives (to be preprocessed). filename.FOR indicates a Fortran source file that can contain macros and preprocessor directives (to be preprocessed). filename.F95 indicates a Fortran 90/95 source file that can contain macros and preprocessor directives (to be preprocessed). filename.f90 indicates a Fortran 90/95 source file that is in freeform format. filename.f95 indicates a Fortran 90/95 source file that is in freeform format. filename.hpf indicates an HPF source file. filename.c indicates a C source file that can contain macros and preprocessor directives (to be preprocessed). filename.i indicates a preprocessed C or C++ source file. filename.C indicates a C++ source file that can contain macros and preprocessor directives (to be preprocessed). filename.cc indicates a C++ source file that can contain macros and preprocessor directives (to be preprocessed). filename.s indicates an assembly-language file. filename.o (Linux, Apple, SFU, SUA) indicates an object file. filename.obj (Windows systems only) indicates an object file. filename.a (Linux, Apple, SFU, SUA) indicates a library of object files. filename.lib (Windows systems only) indicates a statically-linked library of object files. filename.so (Linux and SFU systems only) indicates a library of shared object files. filename.dll (Windows systems only) indicates a dynamically-linked library.Chapter 1. Getting Started 5 filename..objlib (Apple systems only) indicates a dynamically-linked library. The driver passes files with .s extensions to the assembler and files with .o, .obj, .so, .dll, .a and .lib extensions to the linker. Input files with unrecognized extensions, or no extension, are also passed to the linker. Files with a .F (Capital F) or .FOR suffix are first preprocessed by the Fortran compilers and the output is passed to the compilation phase. The Fortran preprocessor functions similar to cpp for C/C++ programs, but is built in to the Fortran compilers rather than implemented through an invocation of cpp. This design ensures consistency in the preprocessing step regardless of the type or revision of operating system under which you’re compiling. Any input files not needed for a particular phase of processing are not processed. For example, if on the command line you specify an assembly-language file (filename.s) and the –S option to stop before the assembly phase, the compiler takes no action on the assembly language file. Processing stops after compilation and the assembler does not run. In this scenario, the compilation must have been completed in a previous pass which created the .s file. For a complete description of the –S option, refer to the following section:“Output Files”. In addition to specifying primary input files on the command line, code within other files can be compiled as part of include files using the INCLUDE statement in a Fortran source file or the preprocessor #include directive in Fortran source files that use a .F extension or C and C++ source files. When linking a program with a library, the linker extracts only those library components that the program needs. The compiler drivers link in several libraries by default. For more information about libraries, refer to Chapter 7, “Creating and Using Libraries”. Output Files By default, an executable output file produced by one of the PGI compilers is placed in the file a.out, or, on Windows, in a filename based on the name of the first source or object file on the command line. As the example in the preceding section shows, you can use the –o option to specify the output file name. If you use one of the options: –F (Fortran only), –P (C/C++ only), –S or –c, the compiler produces a file containing the output of the last completed phase for each input file, as specified by the option supplied. The output file will be a preprocessed source file, an assembly-language file, or an unlinked object file respectively. Similarly, the –E option does not produce a file, but displays the preprocessed source file on the standard output. Using any of these options, the –o option is valid only if you specify a single input file. If no errors occur during processing, you can use the files created by these options as input to a future invocation of any of the PGI compiler drivers. The following table lists the stop-after options and the output files that the compilers create when you use these options. It also describes the accepted input files. Table 1.1. Stop-after Options, Inputs and Outputs Option Stop after Input Output –E preprocessing Source files. For Fortran, must have .F extension. preprocessed file to standard outPGI® User’s Guide 6 Option Stop after Input Output –F preprocessing Source files. Must have .F extension. This option is not valid for pgcc or pgcpp. preprocessed file (.f) –P preprocessing Source files. This option is not valid for pgf77, pgf95 or pghpf) preprocessed file (.i) –S compilation Source files or preprocessed files assembly-language file (.s) –c assembly Source files, preprocessed files or assemblylanguage files unlinked object file (.o or .obj) none linking Source files, preprocessed files, assemblylanguage files, object files or libraries executable file (a.out or .exe) If you specify multiple input files or do not specify an object filename, the compiler uses the input filenames to derive corresponding default output filenames of the following form, where filename is the input filename without its extension: filename.f indicates a preprocessed file, if you compiled a Fortran file using the –F option. filename.i indicates a prepossedfile, if you compiled using the –P option.. filename.lst indicates a listing file from the –Mlist option. filename.o or filename.obj indicates an object file from the –c option. filename.s indicates an assembly-language file from the –S option. Note Unless you specify otherwise, the destination directory for any output file is the current working directory. If the file exists in the destination directory, the compiler overwrites it. The following example demonstrates the use of output filename extensions. $ pgf95 -c proto.f proto1.F This produces the output files proto.o and proto1.o, or, on Windows, proto.obj and proto1.obj all of which are binary object files. Prior to compilation, the file proto1.F is preprocessed because it has a .F filename extension. Fortran, C, and C++ Data Types The PGI Fortran, C, and C++ compilers recognize scalar and aggregate data types. A scalar data type holds a single value, such as the integer value 42 or the real value 112.6. An aggregate data type consists of one or more scalar data type objects, such as an array of integer values.Chapter 1. Getting Started 7 For information about the format and alignment of each data type in memory, and the range of values each type can have on x86 or x64 processor-based systems running a 32-bit operating system, refer to Chapter 13, “Fortran, C and C++ Data Types”. For more information on x86-specific data representation, refer to the System V Application Binary Interface Processor Supplement by AT&T UNIX System Laboratories, Inc. (Prentice Hall, Inc.). This manual specifically does not address x64 processor-based systems running a 64-bit operating system, because the application binary interface (ABI) for those systems is still evolving. For the latest version of this ABI, see www.x86-64.org/abi.pdf. Parallel Programming Using the PGI Compilers The PGI compilers support three styles of parallel programming: • Automatic shared-memory parallel programs compiled using the –Mconcur option to pgf77, pgf95, pgcc, or pgcpp — parallel programs of this variety can be run on shared-memory parallel (SMP) systems such as dual-core or multi-processor workstations. • OpenMP shared-memory parallel programs compiled using the –mp option to pgf77, pgf95, pgcc, or pgcpp — parallel programs of this variety can be run on SMP systems. Carefully coded user-directed parallel programs using OpenMP directives can often achieve significant speed-ups on dual-core workstations or large numbers of processors on SMP server systems. Chapter 5, “Using OpenMP” contains complete descriptions of user-directed parallel programming. • Data parallel shared- or distributed-memory parallel programs compiled using the PGHPF High Performance Fortran compiler — parallel programs of this variety can be run on SMP workstations or servers, distributed-memory clusters of workstations, or clusters of SMP workstations or servers. Coding a data parallel version of an application can be more work than using OpenMP directives, but has the advantage that the resulting executable is usable on all types of parallel systems regardless of whether shared memory is available. See the PGHPF User’s Guide for a complete description of how to build and execute data parallel HPF programs. In this manual, the first two types of parallel programs are collectively referred to as SMP parallel programs. The third type is referred to as a data parallel program, or simply as an HPF program. Some newer CPUs incorporate two or more complete processor cores - functional units, registers, level 1 cache, level 2 cache, and so on - on a single silicon die. These CPUs are known as multi-core processors. For purposes of HPF, threads, or OpenMP parallelism, these cores function as two or more distinct processors. However, the processing cores are on a single chip occupying a single socket on a system motherboard. For purposes of PGI software licensing, a multi-core processor is treated as a single CPU. Running SMP Parallel Programs When you execute an SMP parallel program, by default it uses only one processor. To run on more than one processor, set the NCPUS environment variable to the desired number of processors, subject to a maximum of four for PGI’s workstation-class products. You can set this environment variable by issuing the following command in a Windows command prompt window:PGI® User’s Guide 8 % setenv NCPUS In a shell command window under csh, issue the following command: % setenv NCPUS In sh, ksh, or BASH command window, issue the following command: % NCPUS=; export NCPUS Note If you set NCPUS to a number larger than the number of physical processors, your program may execute very slowly. Running Data Parallel HPF Programs When you execute an HPF program, by default it will use only one processor. If you wish to run on more than one processor, use the -pghpf -np runtime option. For example, to compile and run the hello.f example defined above on one processor, you would issue the following commands: % pghpf -o hello hello.f Linking: % hello hello % To execute it on two processors, you would issue the following commands: % hello -pghpf -np 2 hello % Note If you specify a number larger than the number of physical processors, your program will execute very slowly. You still only see a single “hello” printed to your screen. This is because HPF is a single-threaded model, meaning that all statements execute with the same semantics as if they were running in serial. However, parallel statements or constructs operating on explicitly distributed data are in fact executed in parallel. The programmer must manually insert compiler directives to cause data to be distributed to the available processors. See the PGHPF User’s Guide and The High Performance Fortran Handbook for more details on constructing and executing data parallel programs on shared-memory or distributed-memory cluster systems using PGHPF. Platform-specific considerations There are nine platforms supported by the PGI Workstation and PGI Server compilers and tools: • 32-bit Linux - supported on 32-bit Linux operating systems running on either a 32-bit x86 compatible or an x64 compatible processor.Chapter 1. Getting Started 9 • 64-bit/32-bit Linux - includes all features and capabilities of the 32-bit Linux version, and is also supported on 64-bit Linux operating systems running on an x64 compatible processor. • 32-bit Windows - supported on 32-bit Windows operating systems running on either a 32-bit x86 compatible or an x64 compatible processor. • 64-bit/32-bit Windows - includes all features and capabilities of the 32-bit Windows version, and is also supported on 64-bit Windows operating systems running an x64 compatible processor. • 32-bit SFU - supported on 32-bit Windows operating systems running on either a 32-bit x86 compatible or an x64 compatible processor. • 32-bit SUA - supported on 32-bit Windows operating systems running on either a 32-bit x86 compatible or an x64 compatible processor. • 64-bit/32-bit SUA - includes all features and capabilities of the 32-bit SUA version, and is also supported on 64-bit Windows operating systems running on an x64 compatible processor. • 32-bit Apple Mac OS X - supported on 32-bit Apple Mac operating systems running on either a 32-bit or 64- bit Intel-based Mac system. • 64-bit Apple Mac OS X - supported on 64-bit Apple Mac operating systems running on a 64-bit Intel-based Mac system. The following sections describe the specific considerations required to use the PGI compilers on the various platforms: Linux, Windows, and Apple Mac OS X. Using the PGI Compilers on Linux Linux Header Files The Linux system header files contain many GNU gcc extensions. PGI supports many of these extensions, thus allowing the PGCC C and C++ compilers to compile most programs that the GNU compilers can compile. A few header files not interoperable with the PGI compilers have been rewritten and are included in $PGI/linux86/include. These files are: sigset.h, asm/byteorder.h, stddef.h, asm/ posix_types.h and others. Also, PGI’s version of stdarg.h supports changes in newer versions of Linux. If you are using the PGCC C or C++ compilers, please make sure that the supplied versions of these include files are found before the system versions. This will happen by default unless you explicitly add a –I option that references one of the system include directories. Running Parallel Programs on Linux You may encounter difficulties running auto-parallel or OpenMP programs on Linux systems when the per-thread stack size is set to the default (2MB). If you have unexplained failures, please try setting the environment variable OMP_STACK_SIZE to a larger value, such as 8MB. This can be accomplished with the command in csh: % setenv OMP_STACK_SIZE 8M in bash, sh, or ksh, use: % OMP_STACK_SIZE=8M; export OMP_STACK_SIZEPGI® User’s Guide 10 If your program is still failing, you may be encountering the hard 8 MB limit on main process stack sizes in Linux. You can work around the problem by issuing the following command in csh: % limit stacksize unlimited in bash, sh, or ksh, use: % ulimit -s unlimited Using the PGI Compilers on Windows BASH Shell Environment On Windows platforms, the tools that ship with the PGI Workstation or PGI Server command-level compilers include a full-featured shell command environment. After installation, you should have a PGI icon on your Windows desktop. Double-left-click on this icon to cause an instance of the BASH command shell to appear on your screen. Working within BASH is very much like working within the sh or ksh shells on a Linux system, but in addition BASH has a command history feature similar to csh and several other unique features. Shell programming is fully supported. A complete BASH User’s Guide is available through the PGI online manual set. Select “PGI Workstation” under Start->Programs and double-left-click on the documentation icon to see the online manual set. You must have a web browser installed on your system in order to read the online manuals. The BASH shell window is pre-initialized for usage of the PGI compilers and tools, so there is no need to set environment variables or modify your command path when the command window comes up. In addition to the PGI compiler commands referenced above, within BASH you have access to over 100 common commands and utilities, including but not limited to the following: vi emacs make tar / untar gzip / gunzip ftp sed grep / egrep / fgrep awk cat cksum cp date diff du find kill ls more / less mv printenv / env rm / rmdir touch wc If you are familiar with program development in a Linux environment, editing, compiling, and executing programs within BASH will be very comfortable. If you have not previously used such an environment, you should take time to familiarize yourself with either the vi or emacs editors and with makefiles. The emacs editor has an extensive online tutorial, which you can start by bringing up emacs and selecting the appropriate option under the pull-down help menu. You can get a thorough introduction to the construction and use of makefiles through the online Makefile User’s Guide. For library compatibility, PGI provides versions of ar and ranlib that are compatible with native Windows object-file formats. For more information on these commands, refer to “Creating and Using Static Libraries on Windows,” on page 79.Chapter 1. Getting Started 11 Windows Command Prompt The PGI Workstation entry in the Windows Start menu contains a submenu titled PGI Workstation Tools. This submenu contains a shortcut labeled PGI Command Prompt (32-bit). The shortcut is used to launch a Windows command shell using an environment pre-initialized for the use of the 32-bit PGI compilers and tools. On x64 systems, a second shortcut labeled PGI Command Prompt (64-bit) will also be present. This shortcut launches a Windows command shell using an environment pre-initialized for the use of the 64-bit PGI compilers and tools. Using the PGI Compilers on SUA and SFU Subsystem for Unix Applications (SUA and SFU) Subsystem for Unix Applications (SUA) is a source-compatibility subsystem for running Unix applications on 32-bit and 64-bit Windows server-class operating systems. PGI Workstation for Windows includes compilers and tools for SUA and its 32-bit-only predecessor, Services For Unix (SFU). SUA provides an operating system for POSIX processes. There is a package of support utilities available for download from Microsoft that provides a more complete Unix environment, including features like shells, scripting utilities, a telnet client, development tools, and so on. SUA/SFU Header Files The SUA/SFU system header files contain numerous non-standard extensions. PGI supports many of these extensions, thus allowing the PGCC C and C++ compilers to compile most programs that the GNU compilers can compile. A few header files not interoperable with the PGI compilers have been rewritten and are included in $PGI/sua32/include or $PGI/sua64/include. These files are: stdarg.h, stddef.h, and others. If you are using the PGCC C or C++ compilers, please make sure that the supplied versions of these include files are found before the system versions. This happens by default unless you explicitly add a –I option that references one of the system include directories. Running Parallel Programs on SUA and SFU You may encounter difficulties running auto-parallel or OpenMP programs on SUA/SFU systems when the per-thread stack size is set to the default (2MB). If you have unexplained failures, please try setting the environment variable OMP_STACK_SIZE to a larger value, such as 8MB. This can be accomplished with the command: in csh: % setenv OMP_STACK_SIZE 8M in bash, sh, or ksh. % OMP_STACK_SIZE=8M; export OMP_STACK_SIZE Using the PGI Compilers on Mac OS X Mac OS X Header FilesPGI® User’s Guide 12 The Mac OS X header files contain numerous non-standard extensions. PGI supports many of these extensions, thus allowing the PGCC C and C++ compilers to compile most programs that the GNU compilers can compile. A few header files not interoperable with the PGI compilers have been rewritten and are included in $PGI/ sua32/include or $PGI/sua64/include. These files are: stdarg.h, stddef.h, and others. If you are using the PGCC C or C++ compilers, please make sure that the supplied versions of these include files are found before the system versions. This will happen by default unless you explicitly add a –I option that references one of the system include directories. Running Parallel Programs on Mac OS You may encounter difficulties running auto-parallel or OpenMP programs on Mac OS X systems when the per-thread stack size is set to the default (8MB). If you have unexplained failures, please try setting the environment variable OMP_STACK_SIZE to a larger value, such as 16MB. This can be accomplished with the following command: in csh: % setenv OMP_STACK_SIZE 16M in bash, sh, or ksh. % OMP_STACK_SIZE=16M; export OMP_STACK_SIZE Site-specific Customization of the Compilers If you are using the PGI compilers and want all your users to have access to specific libraries or other files, there are special files that allow you to customize the compilers for your site. Using siterc Files The PGI compiler drivers utilize a file named siterc to enable site-specific customization of the behavior of the PGI compilers. The siterc file is located in the bin subdirectory of the PGI installation directory. Using siterc, you can control how the compiler drivers invoke the various components in the compilation tool chain. Using User rc Files In addition to the siterc file, user rc files can reside in a given user’s home directory, as specified by the user’s HOME environment variable. You can use these files to control the respective PGI compilers. All of these files are optional. On Linux and SUA these files are named .mypgf77rc, .mypgf90rc, .mypgccrc, .mypgcpprc, and .mypghpfrc. On native windows, these files are named mypgf77rc, mypgf95rc, mypgccrc, mypgcpprc, and mypghpfrc. On Windows, these files are named mypgf77rc and mypgf95rc. The following examples show how these rc files can be used to tailor a given installation for a particular purpose.Chapter 1. Getting Started 13 Table 1.2. Examples of Using siterc and User rc Files To do this... Add the line shown to the indicated file Make the libraries found in the following location available to all linux86-64 compilations. /opt/newlibs/64 set SITELIB=/opt/newlibs/64; to /opt/pgi/linux86-64/7.1/bin/siterc Make the libraries found in the following location available to all linux86 compilations. /opt/newlibs/32 set SITELIB=/opt/newlibs/32; to /opt/pgi/linux86/7.1/bin/siterc Add the following new library path to all linux86-64 compilations. /opt/local/fast append SITELIB=/opt/local/fast; to /opt/pgi/linux86-64/7.1/bin/siterc Make the following include path available to all compilations; -I/opt/acml/include set SITEINC=/opt/acml/include; to /opt/pgi/linux86/7.1/bin/siterc and / opt/pgi/linux86-64/7.1/bin/siterc Change –Mmpi to link in the following with linux86-64 compilations. /opt/mympi/64/libmpix.a set MPILIBDIR=/opt/mympi/64; set MPILIBNAME=mpix; to /opt/pgi/linux86-64/7.1/bin/siterc; Have linux86-64 compilations always add –DIS64BIT –DAMD set SITEDEF=IS64BIT AMD; to /opt/pgi/linux86-64/7.1/bin/siterc Build an F90 executable for linux86- 64 or linux86 that resolves PGI shared objects in the relative directory ./REDIST set RPATH=./REDIST ; to ~/.mypgf95rc Note This only affects the behavior of PGF95 for the given user. Common Development Tasks Now that you have a brief introduction to the compiler, let’s look at some common development tasks that you might wish to perform. • When you compile code you can specify a number of options on the command line that define specific characteristics related to how the program is compiled and linked, typically enhancing or overriding the default behavior of the compiler. For a list of the most common command line options and information on all the command line options, refer to Chapter 2, “Using Command Line Options”. • Code optimization and parallelization allow you to organize your code for efficient execution. While possibly increasing compilation time and making the code more difficult to debug, these techniques typicallyPGI® User’s Guide 14 produce code that runs significantly faster than code that does not use them. For more information on optimization and parallelization, refer to Chapter 3, “Using Optimization & Parallelization”. • Function inlining, a special type of optimization, replaces a call to a function or a subroutine with the body of the function or subroutine. This process can speed up execution by eliminating parameter passing and the function or subroutine call and return overhead. In addition, function inlining allows the compiler to optimize the function with the rest of the code. However, function inlining may also result in much larger code size with no increase in execution speed. For more information on function inlining, refer to Chapter 4, “Using Function Inlining”. • Directives and pragmas allow users to place hints in the source code to help the compiler generate better assembly code. You typically use directives and pragmas to control the actions of the compiler in a particular portion of a program without affecting the program as a whole. You place them in your source code where you want them to take effect. A directive or pragma typically stays in effect from the point where included until the end of the compilation unit or until another directive or pragma changes its status. For more information on directives and pragmas, refer to Chapter 5, “Using OpenMP”and Chapter 6, “Using Directives and Pragmas”. • A library is a collection of functions or subprograms used to develop software. Libraries contain "helper" code and data, which provide services to independent programs, allowing code and data to be shared and changed in a modular fashion. The functions and programs in a library are grouped for ease of use and linking. When creating your programs, it is often useful to incorporate standard libraries or proprietary ones. For more information on this topic, refer to Chapter 7, “Creating and Using Libraries”. • Environment variables define a set of dynamic values that can affect the way running processes behave on a computer. It is often useful to use these variables to set and pass information that alters the default behavior of the PGI compilers and the executables which they generate. For more information on these variables, refer to Chapter 8, “ Using Environment Variables”. • Deployment, though possibly an infrequent task, can present some unique issues related to concerns of porting the code to other systems. Deployment, in this context, involves distribution of a specific file or set of files that are already compiled and configured. The distribution must occur in such a way that the application executes accurately on another system which may not be configured exactly the same as the system on which the code was created. For more information on what you might need to know to successfully deploy your code, refer to Chapter 9, “Distributing Files - Deployment”. • An intrinsic is a function available in a given language whose implementation is handled specially by the compiler. Intrinsics make using processor-specific enhancements easier because they provide a C/C++ language interface to assembly instructions. In doing so, the compiler manages details that the user would normally have to be concerned with, such as register names, register allocations, and memory locations of data. For C/C++ programs, PGI provides support for MMX and SSE/SSE2/SSE3 intrinsics. For more information on these intrinsics, refer to Chapter 20, “C/C++ MMX/SSE Inline Intrinsics”.15 Chapter 2. Using Command Line Options A command line option allows you to control specific behavior when a program is compiled and linked. This chapter describes the syntax for properly using command-line options and provides a brief overview of a few of the more common options. Note For a complete list of command-line options, their descriptions and use, refer to Chapter 15, “Command-Line Options Reference,” on page 163. Command Line Option Overview Before looking at all the command-line options, first become familiar with the syntax for these options. There are a large number of options available to you, yet most users only use a few of them. So, start simple and progress into using the more advanced options. By default, the PGI 7.1 compilers generate code that is optimized for the type of processor on which compilation is performed, the compilation host. Before adding options to your command-line, review the sections“Help with Command-line Options,” on page 16 and “Frequently-used Options,” on page 19. Command-line Options Syntax On a command-line, options need to be preceded by a hyphen (-). If the compiler does not recognize an option, it passes the option to the linker. This document uses the following notation when describing options: [item] Square brackets indicate that the enclosed item is optional. {item | item} Braces indicate that you must select one and only one of the enclosed items. A vertical bar (|) separates the choices.PGI® User’s Guide 16 ... Horizontal ellipses indicate that zero or more instances of the preceding item are valid. NOTE Some options do not allow a space between the option and its argument or within an argument. When applicable, the syntax section of the option description in Chapter 15, “Command-Line Options Reference,” on page 163 contains this information. Command-line Suboptions Some options accept several suboptions. You can specify these suboptions either by using the full option statement multiple times or by using a comma-separated list for the suboptions. The following two command lines are equivalent: pgf95 -Mvect=sse -Mvect=noaltcode pgf95 -Mvect=sse,noaltcode Command-line Conflicting Options Some options have an opposite or negated counterpart. For example, both–Mvect and –Mnovect are available. –Mvect enables vectorization and –Mnovect disables it. If you used both of these commands on a command line, they would conflict. Note Rule: When you use conflicting options on a command line, the last encountered option takes precedence over any previous one. This rule is important for a number of reasons. • Some options, such as –fast, include other options. Therefore, it is possible for you to be unaware that you have conflicting options. • You can use this rule to create makefiles that apply specific flags to a set of files, as shown in Example 2.1. Example 2.1. Makefiles with Options In this makefile, CCFLAGS uses vectorization. CCNOVECTFLAGS uses the flags defined for CCFLAGS but disables vectorization. CCFLAGS=c -Mvect=sse CCNOVECTFLAGS=$(CCFLAGS) -Mnovect Help with Command-line Options If you are just getting started with the PGI compilers and tools, it is helpful to know which options are available, when to use them, and which options most users find effective.Chapter 2. Using Command Line Options 17 Using –help The –help option is useful because it provides information about all options supported by a given compiler. You can use –help in one of three ways: • Use –help with no parameters to obtain a list of all the available options with a brief one-line description of each. • Add a parameter to –help to restrict the output to information about a specific option. The syntax for this usage is this: –help For example, suppose you use the following command to restrict the output to information about the - fast option: pgf95 -help -fast The output you see is similar to this: -fast Common optimizations; includes -O2 -Munroll=c:1 -Mnoframe -Mlre In the following example, usage information for –help shows how groups of options can be listed or examined according to function $ pgf95 -help -help -help[=groups|asm|debug|language|linker|opt|other| overall|phase|prepro|suffix|switch|target|variable] Show compiler switches • Add a parameter to –help to restrict the output to a specific set of options or to a building process. The syntax for this usage is this: -help= The previous output from the command pgf95 -help -help shows the available subgroups. For example, you can use the following command to restrict the output to information about options related to debug information generation. pgf95 -help=debug The output you see is similar to this: Debugging switches: -M[no]bounds Generate code to check array bounds -Mchkfpstk Check consistency of floating point stack at subprogram calls (32-bit only) Note: This switch only works on 32-bit. On 64-bit, the switch is ignored. -Mchkstk Check for sufficient stack space upon subprogram entry -Mcoff Generate COFF format object -Mdwarf1 Generate DWARF1 debug information with -g -Mdwarf2 Generate DWARF2 debug information with -g -Mdwarf3 Generate DWARF3 debug information with -g -Melf Generate ELF format object -g Generate information for debugger -gopt Generate information for debugger without disabling optimizationsPGI® User’s Guide 18 For a complete description of subgroups, refer to “–help ,” on page 178. Getting Started with Performance One of top priorities of most users is performance and optimization. This section provides a quick overview of a few of the command-line options that are useful in improving performance. Using –fast and –fastsse Options PGI compilers implement a wide range of options that allow users a fine degree of control on each optimization phase. When it comes to optimization of code, the quickest way to start is to use –fast and –fastsse. These options create a generally optimal set of flags for targets that support SSE/SSE2 capability. They incorporate optimization options to enable use of vector streaming SIMD (SSE/SSE2) instructions for 64-bit targets. They enable vectorization with SSE instructions, cache alignment, and SSE arithmetic to flush to zero mode. Note The contents of the –fast and –fastsse options are host-dependent. Further, you should use these options on both compile and link command lines. • –fast and –fastsse typically include these options: –O2 Specifies a code optimization level of 2. –Munroll=c:1 Unrolls loops, executing multiple instances of the loop during each iteration. –Mnoframe Indicates to not generate code to set up a stack frame. –Mlre Indicates loop-carried redundancy elimination. • These additional options are also typically available when using –fast for 64-bit targets and when using –fastsse for both 32- and 64-bit targets: –Mvect=sse Generates SSE instructions. –Mscalarsse Generates scalar SSE code with xmm registers; implies –Mflushz. –Mcache_align Aligns long objects on cache-line boundaries. –Mflushz Sets SSE to flush-to-zero mode. Note For best performance on processors that support SSE instructions, use the PGF95 compiler, even for FORTRAN 77 code, and the –fast option. To see the specific behavior of –fast for your target, use the following command: pgf95 -help -fastChapter 2. Using Command Line Options 19 Other Performance-related Options While –fast and -fastsse are options designed to be the quickest route to best performance, they are limited to routine boundaries. Depending on the nature and writing style of the source code, the compiler often can perform further optimization by knowing the global context of usage of a given routine. For instance, determining the possible value range of actual parameters of a routine could enable a loop to be vectorized; similarly, determining static occurrence of calls helps to decide which routine is beneficial to inline. These types of global optimizations are under control of Inter Procedural Analysis (IPA) in PGI compilers. Option -Mipa enables Inter Procedural Analysis. -Mpi=fast is the recommended option to get best performances for global optimization. You can also add the suboption inline to enable automatic global inlining across file. You might consider using –Mipa=fast,inline. This option for inter-procedural analysis and global optimization can improve performance. You may also be able to obtain further performance improvements by experimenting with the individual –Mpgflag options detailed in the section“–M Options by Category,” on page 219. These options include –Mvect, –Munroll, –Minline, –Mconcur, and –Mpfi/–Mpfo. However, performance improvements using these options are typically application- and system-dependent. It is important to time your application carefully when using these options to ensure no performance degradations occur. For more information on optimization, refer to Chapter 3, “Using Optimization & Parallelization,” on page 21. For specific information about these options, refer to “–M Optimization Controls,” on page 229. Targeting Multiple Systems; Using the -tp Option The –tp option allows you to set the target architecture. By default, the PGI compiler uses all supported instructions wherever possible when compiling on a given system. As a result, executables created on a given system may not be usable on previous generation systems. For example, executables created on a Pentium 4 may fail to execute on a Pentium III or Pentium II. Processor-specific optimizations can be specified or limited explicitly by using the -tp option. Thus, it is possible to create executables that are usable on previous generation systems. With the exception of k8-64, k8- 64e, p7-64, and x64, any of these sub-options are valid on any x86 or x64 processor-based system. The k8-64, k8-64e, p7-64 and x64 options are valid only on x64 processor-based systems For more information about the -tp option, refer to “–tp [,target...] ,” on page 202. Frequently-used Options In addition to overall performance, there are a number of other options that many users find useful when getting started. The following table provides a brief summary of these options. For more information on these options, refer to the complete description of each option available in Chapter 15, “Command-Line Options Reference,” on page 163. Also, there are a number of suboptions available with each of the –M options listed. For more information on those options, refer to “–M Options by Category”.PGI® User’s Guide 20 Table 2.1. Commonly Used Command Line Options Option Description –fast or –fastsse These options create a generally optimal set of flags for targets that support SSE/SSE2 capability. They incorporate optimization options to enable use of vector streaming SIMD instructions (64-bit targets) and enable vectorization with SEE instructions, cache aligned and flushz. –g Instructs the compiler to include symbolic debugging information in the object module. –gopt Instructs the compiler to include symbolic debugging information in the object file, and to generate optimized code identical to that generated when –g is not specified. –help Provides information about available options. –mcmodel=medium Enables medium=model core generation for 64-bit targets; useful when the data space of the program exceeds 4GB. –Mconcur Instructs the compiler to enable auto-concurrentization of loops. If specified, the compiler uses multiple processors to execute loops that it determines to be parallelizable; thus, loop iterations are split to execute optimally in a multithreaded execution context. –Minfo Instructs the compiler to produce information on standard error. –Minline Passes options to the function inliner. –Mipa=fast,inline Enables interprocedural analysis and optimization. Also enables automatic procedure inlining. –Mneginfo Instructs the compiler to produce information on standard error. –Mpfi and –Mpfo Enable profile feedback driven optimizations. –Mkeepasm Keeps the generated assembly files. –Munroll Invokes the loop unroller to unroll loops, executing multiple instances of the loop during each iteration. This also sets the optimization level to 2 if the level is set to less than 2, or if no –O or –g options are supplied. –M[no]vect Enables/Disables the code vectorizer. --[no_]exceptions Removes exception handling from user code. –o Names the output file. –O Specifies code optimization level where is 0, 1, 2, 3, or 4. –tp [,target...] Specify the type(s) of the target processor(s) to enable generation of PGI Unified Binary executables.21 Chapter 3. Using Optimization & Parallelization Source code that is readable, maintainable, and produces correct results is not always organized for efficient execution. Normally, the first step in the program development process involves producing code that executes and produces the correct results. This first step usually involves compiling without much worry about optimization. After code is compiled and debugged, code optimization and parallelization become an issue. Invoking one of the PGI compiler commands with certain options instructs the compiler to generate optimized code. Optimization is not always performed since it increases compilation time and may make debugging difficult. However, optimization produces more efficient code that usually runs significantly faster than code that is not optimized. The compilers optimize code according to the specified optimization level. Using the –O, –Mvect, –Mipa, and –Mconcur, you can specify the optimization levels. In addition, you can use several –M switches to control specific types of optimization and parallelization. This chapter describes the optimization options displayed in the following list. –fast –Mpfi –Mvect –Mconcur –Mpfo –O –Mipa=fast –Munroll This chapter also describes how to choose optimization options to use with the PGI compilers. This overview will help if you are just getting started with one of the PGI compilers, or wish to experiment with individual optimizations. Complete specifications of each of these options is available in Chapter 15, “Command-Line Options Reference”. Overview of Optimization In general, optimization involves using transformations and replacements that generate more efficient code. This is done by the compiler and involves replacements that are independent of the particular target processor’s architecture as well as replacements that take advantage of the x86 or x64 architecture, instruction set and registers. For the discussion in this and the following chapters, optimization is divided into the following categories:PGI® User’s Guide 22 Local Optimization This optimization is performed on a block-by-block basis within a program’s basic blocks. A basic block is a sequence of statements in which the flow of control enters at the beginning and leaves at the end without the possibility of branching, except at the end. The PGI compilers perform many types of local optimization including: algebraic identity removal, constant folding, common sub-expression elimination, redundant load and store elimination, scheduling, strength reduction, and peephole optimizations. Global Optimization This optimization is performed on a program unit over all its basic blocks. The optimizer performs controlflow and data-flow analysis for an entire program unit. All loops, including those formed by IFs and GOTOs, are detected and optimized. Global optimization includes: constant propagation, copy propagation, dead store elimination, global register allocation, invariant code motion, and induction variable elimination. Loop Optimization: Unrolling, Vectorization, and Parallelization The performance of certain classes of loops may be improved through vectorization or unrolling options. Vectorization transforms loops to improve memory access performance and make use of packed SSE instructions which perform the same operation on multiple data items concurrently. Unrolling replicates the body of loops to reduce loop branching overhead and provide better opportunities for local optimization, vectorization and scheduling of instructions. Performance for loops on systems with multiple processors may also improve using the parallelization features of the PGI compilers. Interprocedural Analysis (IPA) and Optimization Interprocedural analysis (IPA) allows use of information across function call boundaries to perform optimizations that would otherwise be unavailable. For example, if the actual argument to a function is in fact a constant in the caller, it may be possible to propagate that constant into the callee and perform optimizations that are not valid if the dummy argument is treated as a variable. A wide range of optimizations are enabled or improved by using IPA, including but not limited to data alignment optimizations, argument removal, constant propagation, pointer disambiguation, pure function detection, F90/F95 array shape propagation, data placement, vestigial function removal, automatic function inlining, inlining of functions from pre-compiled libraries, and interprocedural optimization of functions from pre-compiled libraries. Function Inlining This optimization allows a call to a function to be replaced by a copy of the body of that function. This optimization will sometimes speed up execution by eliminating the function call and return overhead. Function inlining may also create opportunities for other types of optimization. Function inlining is not always beneficial. When used improperly it may increase code size and generate less efficient code. Profile-Feedback Optimization (PFO) Profile-feedback optimization (PFO) makes use of information from a trace file produced by specially instrumented executables which capture and save information on branch frequency, function and subroutine call frequency, semi-invariant values, loop index ranges, and other input data dependent information that can only be collected dynamically during execution of a program. By definition, use of profile-feedbackChapter 3. Using Optimization & Parallelization 23 optimization is a two-phase process: compilation and execution of a specially-instrumented executable, followed by a subsequent compilation which reads a trace file generated during the first phase and uses the information in that trace file to guide compiler optimizations. Getting Started with Optimizations Your first concern should be getting your program to execute and produce correct results. To get your program running, start by compiling and linking without optimization. Use the optimization level –O0 or select –g to perform minimal optimization. At this level, you will be able to debug your program easily and isolate any coding errors exposed during porting to x86 or x64 platforms. If you want to get started quickly with optimization, a good set of options to use with any of the PGI compilers is –fast –Mipa=fast. For example: $ pgf95 -fast -Mipa=fast prog.f For all of the PGI Fortran, C, and C++ compilers, the –fast, –Mipa=fast options generally produce code that is well-optimized without the possibility of significant slowdowns due to pathological cases. The –fast option is an aggregate option that includes a number of individual PGI compiler options; which PGI compiler options are included depends on the target for which compilation is performed. The –Mipa=fast option invokes interprocedural analysis including several IPA suboptions. For C++ programs, add -Minline=levels:10 --no_exceptions: $ pgcpp -fast -Mipa=fast -Minline=levels:10 --no_exceptions prog.cc Note A C++ program compiled with --no_exceptions will fail if the program uses exception handling. By experimenting with individual compiler options on a file-by-file basis, further significant performance gains can sometimes be realized. However, depending on the coding style, individual optimizations can sometimes cause slowdowns, and must be used carefully to ensure performance improvements. In addition to -fast, the optimization flags most likely to further improve performance are -O3, -Mpfi, -Mpfo, -Minline, and on targets with multiple processors -Mconcur. In addition, the –Msafeptr option can significantly improve performance of C/C++ programs in which there is known to be no pointer aliasing. However, for obvious reasons this command-line option must be used carefully. Three other options which are extremely useful are -help, -Minfo, and -dryrun. –help As described in “Help with Command-line Options,” on page 16, you can see a specification of any commandline option by invoking any of the PGI compilers with -help in combination with the option in question, without specifying any input files. For example: $ pgf95 -help -O Reading rcfile /usr/pgi/linux86-64/7.0/bin/.pgf95rcPGI® User’s Guide 24 -O[] Set optimization level, -O0 to -O4, default -O2 Or you can see the full functionality of -help itself, which can return information on either an individual option or groups of options; type: $ pgf95 -help -help Reading rcfile /usr/pgi_rel/linux86-64/7.0/bin/.pgf95rc -help[=groups|asm|debug|language|linker|opt|other|overall| phase|prepro|suffix|switch|target|variable] –Minfo You can use the -Minfo option to display compile-time optimization listings. When this option is used, the PGI compilers issue informational messages to stderr as compilation proceeds. From these messages, you can determine which loops are optimized using unrolling, SSE instructions, vectorization, parallelization, interprocedural optimizations and various miscellaneous optimizations. You can also see where and whether functions are inlined. You can use the -Mneginfo option to display informational messages listing why certain optimizations are inhibited. For more information on -Minfo, refer to “–M Optimization Controls,” on page 229 –dryrun The –dryrun option can be useful as a diagnostic tool if you need to see the steps used by the compiler driver to preprocess, compile, assemble and link in the presence of a given set of command line inputs. When you specify the –dryrun option, these steps will be printed to stderr but are not actually performed. For example, you can use this option to inspect the default and user-specified libraries that are searched during the link phase, and the order in which they are searched by the linker. The remainder of this chapter describes the –0 options, the loop unroller option –Munroll, the vectorizer option –Mvect, the auto-parallelization option –Mconcur, the interprocedural analysis optimization –Mipa, and the profile-feedback instrumentation (–Mpfi) and optimization (–Mpfo) options. You should be able to get very near optimal compiled performance using some combination of these switches. Local and Global Optimization using -O Using the PGI compiler commands with the –Olevel option (the capital O is for Optimize), you can specify any of the following optimization levels: –O0 Level zero specifies no optimization. A basic block is generated for each language statement. –O1 Level one specifies local optimization. Scheduling of basic blocks is performed. Register allocation is performed. –O2 Level two specifies global optimization. This level performs all level-one local optimization as well as leveltwo global optimization. If optimization is specified on the command line without a level, level 2 is the default.Chapter 3. Using Optimization & Parallelization 25 –O3 Level three specifies aggressive global optimization. This level performs all level-one and level-two optimizations and enables more aggressive hoisting and scalar replacement optimizations that may or may not be profitable. –O4 Level four performs all level-one, level-two, and level-three optimizations and enables hoisting of guarded invariant floating point expressions. Note If you use the -O option to specify optimization and do not specify a level, then level two optimization (-O2) is the default. Level-zero optimization specifies no optimization (–O0). At this level, the compiler generates a basic block for each statement. Performance will almost always be slowest using this optimization level. This level is useful for the initial execution of a program. It is also useful for debugging, since there is a direct correlation between the program text and the code generated. Level-one optimization specifies local optimization (–O1). The compiler performs scheduling of basic blocks as well as register allocation. Local optimization is a good choice when the code is very irregular, such as code that contains many short statements containing IF statements and does not contain loops (DO or DO WHILE statements). Although this case rarely occurs, for certain types of code, this optimization level may perform better than level-two (–O2). The PGI compilers perform many different types of local optimizations, including but not limited to: - Algebraic identity removal - Peephole optimizations - Constant folding - Redundant load and store elimination - Common subexpression elimination - Strength reductions - Local register optimization Level-two optimization (–O2 or –O) specifies global optimization. The –fast option generally will specify global optimization; however, the –fast switch varies from release to release, depending on a reasonable selection of switches for any one particular release. The –O or –O2 level performs all level-one local optimizations as well as global optimizations. Control flow analysis is applied and global registers are allocated for all functions and subroutines. Loop regions are given special consideration. This optimization level is a good choice when the program contains loops, the loops are short, and the structure of the code is regular. The PGI compilers perform many different types of global optimizations, including but not limited to: - Branch to branch elimination - Global register allocation - Constant propagation - Invariant code motion - Copy propagation - Induction variable elimination - Dead store eliminationPGI® User’s Guide 26 You can explicitly select the optimization level on the command line. For example, the following command line specifies level-two optimization which results in global optimization: $ pgf95 -O2 prog.f Specifying –O on the command-line without a level designation is equivalent to –O2. The default optimization level changes depending on which options you select on the command line. For example, when you select the –g debugging option, the default optimization level is set to level-zero (–O0). However, you can use the -gopt option to generate debug information without perturbing optimization if you need to debug optimized code. Refer to “Default Optimization Levels,” on page 42 for a description of the default levels. As noted above, the –fast option includes –O2 on all x86 and x64 targets. If you wish to override this with –O3 while maintaining all other elements of –fast, simply compile as follows: $ pgf95 -fast -O3 prog.f Scalar SSE Code Generation For all processors prior to Intel Pentium 4 and AMD Opteron/Athlon64, for example Intel Pentium III and AMD AthlonXP/MP processors, scalar floating-point arithmetic as generated by the PGI Workstation compilers is performed using x87 floating-point stack instructions. With the advent of SSE/SSE2 instructions on Intel Pentium 4/Xeon and AMD Opteron/Athlon64, it is possible to perform all scalar floating-point arithmetic using SSE/SSE2 instructions. In most cases, this is beneficial from a performance standpoint. The default on 32-bit Intel Pentium II/III (–tp p6, –tp piii, etc.) or AMD AthlonXP/MP (–tp k7) is to use x87 instructions for scalar floating-point arithmetic. The default on Intel Pentium 4/Xeon or Intel EM64T running a 32-bit operating system (–tp p7), AMD Opteron/Athlon64 running a 32-bit operating system (–tp k8-32), or AMD Opteron/Athlon64 or Intel EM64T processors running a 64-bit operating system (–tp k8-64 and –tp p7- 64 respectively) is to use SSE/SSE2 instructions for scalar floating-point arithmetic. The only way to override this default on AMD Opteron/Athlon64 or Intel EM64T processors running a 64-bit operating system is to specify an older 32-bit target (for example –tp k7 or –tp piii). Note There can be significant arithmetic differences between calculations performed using x87 instructions versus SSE/SSE2. By default, all floating-point data is promoted to IEEE 80-bit format when stored on the x87 floating-point stack, and all x87 operations are performed register-to-register in this same format. Values are converted back to IEEE 32-bit or IEEE 64-bit when stored back to memory (for REAL/float and DOUBLE PRECISION/ double data respectively). The default precision of the x87 floating-point stack can be reduced to IEEE 32-bit or IEEE 64-bit globally by compiling the main program with the –pc {32 | 64} option to the PGI Workstation compilers, which is described in detail in Chapter 2, “Using Command Line Options”. However, there is no way to ensure that operations performed in mixed precision will match those produced on a traditional loadstore RISC/UNIX system which implements IEEE 64-bit and IEEE 32-bit registers and associated floating-point arithmetic instructions. In contrast, arithmetic results produced on Intel Pentium 4/Xeon, AMD Opteron/Athlon64 or Intel EM64T processors will usually closely match or be identical to those produced on a traditional RISC/UNIX system if all scalar arithmetic is performed using SSE/SSE2 instructions. You should keep this in mind when portingChapter 3. Using Optimization & Parallelization 27 applications to and from systems which support both x87 and full SSE/SSE2 floating-point arithmetic. Many subtle issues can arise which affect your numerical results, sometimes to several digits of accuracy. Loop Unrolling using –Munroll This optimization unrolls loops, executing multiple instances of the loop during each iteration. This reduces branch overhead, and can improve execution speed by creating better opportunities for instruction scheduling. A loop with a constant count may be completely unrolled or partially unrolled. A loop with a non-constant count may also be unrolled. A candidate loop must be an innermost loop containing one to four blocks of code. The following shows the use of the –Munroll option: $ pgf95 -Munroll prog.f The –Munroll option is included as part of –fast on all x86 and x64 targets. The loop unroller expands the contents of a loop and reduces the number of times a loop is executed. Branching overhead is reduced when a loop is unrolled two or more times, since each iteration of the unrolled loop corresponds to two or more iterations of the original loop; the number of branch instructions executed is proportionately reduced. When a loop is unrolled completely, the loop’s branch overhead is eliminated altogether. Loop unrolling may be beneficial for the instruction scheduler. When a loop is completely unrolled or unrolled two or more times, opportunities for improved scheduling may be presented. The code generator can take advantage of more possibilities for instruction grouping or filling instruction delays found within the loop. Example 3.1 and Example 3.2 show the effect of code unrolling on a segment that computes a dot product. Example 3.1. Dot Product Code REAL*4 A(100), B(100), Z INTEGER I DO I=1, 100 Z = Z + A(i) * B(i) END DO END Example 3.2. Unrolled Dot Product Code REAL*4 A(100), B(100), Z INTEGER I DO I=1, 100, 2 Z = Z + A(i) * B(i) Z = Z + A(i+1) * B(i+1) END DO END Using the –Minfo option, the compiler informs you when a loop is being unrolled. For example, a message indicating the line number, and the number of times the code is unrolled, similar to the following will display when a loop is unrolled: dot: 5, Loop unrolled 5 times Using the c: and n: sub-options to –Munroll, or using –Mnounroll, you can control whether and how loops are unrolled on a file-by-file basis. Using directives or pragmas as specified in Chapter 6,PGI® User’s Guide 28 “Using Directives and Pragmas”, you can precisely control whether and how a given loop is unrolled. Refer to Chapter 2, “Using Command Line Options”, for a detailed description of the –Munroll option. Vectorization using –Mvect The –Mvect option is included as part of –fast on all x86 and x64 targets. If your program contains computationally-intensive loops, the –Mvect option may be helpful. If in addition you specify –Minfo, and your code contains loops that can be vectorized, the compiler reports relevant information on the optimizations applied. When a PGI compiler command is invoked with the –Mvect option, the vectorizer scans code searching for loops that are candidates for high-level transformations such as loop distribution, loop interchange, cache tiling, and idiom recognition (replacement of a recognizable code sequence, such as a reduction loop, with optimized code sequences or function calls). When the vectorizer finds vectorization opportunities, it internally rearranges or replaces sections of loops (the vectorizer changes the code generated; your source code’s loops are not altered). In addition to performing these loop transformations, the vectorizer produces extensive data dependence information for use by other phases of compilation and detects opportunities to use vector or packed Streaming SIMD Extensions (SSE) instructions on processors where these are supported. The –Mvect option can speed up code which contains well-behaved countable loops which operate on large REAL, REAL*4, REAL*8, INTEGER*4, COMPLEX or COMPLEX DOUBLE arrays in Fortran and their C/C++ counterparts. However, it is possible that some codes will show a decrease in performance when compiled with –Mvect due to the generation of conditionally executed code segments, inability to determine data alignment, and other code generation factors. For this reason, it is recommended that you check carefully whether particular program units or loops show improved performance when compiled with this option enabled. Vectorization Sub-options The vectorizer performs high-level loop transformations on countable loops. A loop is countable if the number of iterations is set only before loop execution and cannot be modified during loop execution. Some of the vectorizer transformations can be controlled by arguments to the –Mvect command line option. The following sections describe the arguments that affect the operation of the vectorizer. In addition, some of these vectorizer operations can be controlled from within code using directives and pragmas. For details on the use of directives and pragmas, refer to Chapter 6, “Using Directives and Pragmas,” on page 63. The vectorizer performs the following operations: • Loop interchange • Loop splitting • Loop fusion • Memory-hierarchy (cache tiling) optimizations • Generation of SSE instructions on processors where these are supported • Generation of prefetch instructions on processors where these are supported • Loop iteration peeling to maximize vector alignmentChapter 3. Using Optimization & Parallelization 29 • Alternate code generation By default, –Mvect without any sub-options is equivalent to: -Mvect=assoc,cachesize=c where c is the actual cache size of the machine. This enables the options for nested loop transformation and various other vectorizer options. These defaults may vary depending on the target system. Assoc Option The option –Mvect=assoc instructs the vectorizer to perform associativity conversions that can change the results of a computation due to a round-off error (–Mvect=noassoc disables this option). For example, a typical optimization is to change one arithmetic operation to another arithmetic operation that is mathematically correct, but can be computationally different and generate faster code. This option is provided to enable or disable this transformation, since a round-off error for such associativity conversions may produce unacceptable results. Cachesize Option The option –Mvect=cachesize:n instructs the vectorizer to tile nested loop operations assuming a data cache size of n bytes. By default, the vectorizer attempts to tile nested loop operations, such as matrix multiply, using multi-dimensional strip-mining techniques to maximize re-use of items in the data cache. SSE Option The option –Mvect=sse instructs the vectorizer to automatically generate packed SSE (Streaming SIMD Extensions), SSE2, and prefetch instructions when vectorizable loops are encountered. SSE instructions, first introduced on Pentium III and AthlonXP processors, operate on single-precision floating-point data, and hence apply only to vectorizable loops that operate on single-precision floating-point data. SSE2 instructions, first introduced on Pentium 4, Xeon and Opteron processors, operate on double-precision floating-point data. Prefetch instructions, first introduced on Pentium III and AthlonXP processors, can be used to improve the performance of vectorizable loops that operate on either 32-bit or 64-bit floating-point data. Refer to Table 2, “Processor Options,” on page xxvi for a concise list of processors that support SSE, SSE2 and prefetch instructions. Note Program units compiled with –Mvect=sse will not execute on Pentium, Pentium Pro, Pentium II or first generation AMD Athlon processors. They will only execute correctly on Pentium III, Pentium 4, Xeon, EM64T, AthlonXP, Athlon64 and Opteron systems running an SSE-enabled operating system. Prefetch Option The option –Mvect=prefetch instructs the vectorizer to automatically generate prefetch instructions when vectorizable loops are encountered, even in cases where SSE or SSE2 instructions are not generated. Usually, explicit prefetching is not necessary on Pentium 4, Xeon and Opteron because these processors supportPGI® User’s Guide 30 hardware prefetching; nonetheless, it sometimes can be worthwhile to experiment with explicit prefetching. Prefetching can be controlled on a loop-by-loop level using prefetch directives, which are described in detail in “Prefetch Directives ,” on page 69. Note Program units compiled with –Mvect=prefetch will not execute correctly on Pentium, Pentium Pro, or Pentium II processors. They will execute correctly only on Pentium III, Pentium 4, Xeon, EM64T, AthlonXP, Athlon64 or Opteron systems. In addition, the prefetchw instruction is only supported on AthlonXP, Athlon64 or Opteron systems and can cause instruction faults on non-AMD processors. For this reason, the PGI compilers do not generate prefetchw instructions by default on any target. In addition to these sub-options to –Mvect, several other sub-options are supported. Refer to the description of -M[no]vect in Chapter 15, “Command-Line Options Reference” for a detailed description of all available sub-options. Vectorization Example Using SSE/SSE2 Instructions One of the most important vectorization options is -Mvect=sse. When you use this option, the compiler automatically generates SSE and SSE2 instructions, where possible, when targeting processors on which these instructions are supported. This process can improve performance by up to a factor of two compared with the equivalent scalar code. All of the PGI Fortran, C and C++ compilers support this capability. Table 2, “Processor Options,” on page xxvi shows which x86 and x64 processors support these instructions. Prior to release 7.0 -Mvect=sse was omitted from the compiler switch -fast but included in -fastsse. Since release 7.0 -fast is synonymous with -fastsse and therefore includes -Mvect=sse. In the program in Example 3.3, “Vector operation using SSE instructions”, the vectorizer recognizes the vector operation in subroutine 'loop' when either the compiler switch -Mvect=sse or -fast is used. This example shows the compilation, informational messages, and runtime results using the SSE instructions on an AMD Opteron processor-based system, along with issues that affect SSE performance. First note that the arrays in Example 3.3 are single-precision and that the vector operation is done using a unit stride loop. Thus, this loop can potentially be vectorized using SSE instructions on any processor that supports SSE or SSE2 instructions. SSE operations can be used to operate on pairs of single-precision floatingpoint numbers, and do not apply to double-precision floating-point numbers. SSE2 instructions can be used to operate on quads of single-precision floating-point numbers or on pairs of double-precision floating-point numbers. Loops vectorized using SSE or SSE2 instructions operate much more efficiently when processing vectors that are aligned to a cache-line boundary. You can cause unconstrained data objects of size 16 bytes or greater to be cache-aligned by compiling with the –Mcache_align switch. An unconstrained data object is a data object that is not a common block member and not a member of an aggregate data structure. Note For stack-based local variables to be properly aligned, the main program or function must be compiled with –Mcache_align.Chapter 3. Using Optimization & Parallelization 31 The –Mcache_align switch has no effect on the alignment of Fortran allocatable or automatic arrays. If you have arrays that are constrained, such as vectors that are members of Fortran common blocks, you must specifically pad your data structures to ensure proper cache alignment; –Mcache_align causes only the beginning address of each common block to be cache-aligned. The following examples show the results of compiling the example code with and without –Mvect=sse. Example 3.3. Vector operation using SSE instructions program vector_op parameter (N = 9999) real*4 x(N), y(N), z(N), W(N) do i = 1, n y(i) = i z(i) = 2*i w(i) = 4*i enddo do j = 1, 200000 call loop(x,y,z,w,1.0e0,N) enddo print *, x(1),x(771),x(3618),x(6498),x(9999) end subroutine loop(a,b,c,d,s,n) integer i, n real*4 a(n), b(n), c(n), d(n),s do i = 1, n a(i) = b(i) + c(i) - s * d(i) enddo end Assume the preceding program is compiled as follows, where -Mvect=nosse disables SSE vectorization: % pgf95 -fast -Mvect=nosse -Minfo vadd.f vector_op: 4, Loop unrolled 4 times loop: 18, Loop unrolled 4 times The following output shows a sample result if the generated executable is run and timed on a standalone AMD Opteron 2.2 Ghz system: % /bin/time vadd -1.000000 -771.000 -3618.000 -6498.00 -9999.00 5.39user 0.00system 0:05.40elapsed 99%CP Now, recompile with SSE vectorization enabled, and you see results similar to these: % pgf95 -fast -Minfo vadd.f -o vadd vector_op: 4, Unrolled inner loop 8 times Loop unrolled 7 times (completely unrolled) loop: 18, Generated 4 alternate loops for the inner loop Generated vector sse code for inner loop Generated 3 prefetch instructions for this loop Notice the informational message for the loop at line 18.PGI® User’s Guide 32 • The first two lines of the message indicate that the loop has been vectorized, SSE instructions have been generated, and four alternate versions of the loop have also been generated. The loop count and alignments of the arrays determine which of these versions is executed. • The last line of the informational message indicates that prefetch instructions have been generated for three loads to minimize latency of data transfers from main memory. Executing again, you should see results similar to the following: % /bin/time vadd -1.000000 -771.000 -3618.00 -6498.00 -9999.0 3.59user 0.00system 0:03.59elapsed 100%CPU The result is a 50% speed-up over the equivalent scalar, that is, the non-SSE, version of the program. Speed-up realized by a given loop or program can vary widely based on a number of factors: • When the vectors of data are resident in the data cache, performance improvement using vector SSE or SSE2 instructions is most effective. • If data is aligned properly, performance will be better in general than when using vector SSE operations on unaligned data. • If the compiler can guarantee that data is aligned properly, even more efficient sequences of SSE instructions can be generated. • The efficiency of loops that operate on single-precision data can be higher. SSE2 vector instructions can operate on four single-precision elements concurrently, but only two double-precision elements. Note Compiling with –Mvect=sse can result in numerical differences from the executables generated with less optimization. Certain vectorizable operations, for example dot products, are sensitive to order of operations and the associative transformations necessary to enable vectorization (or parallelization). Auto-Parallelization using -Mconcur With the -Mconcur option the compiler scans code searching for loops that are candidates for autoparallelization. -Mconcur must be used at both compile-time and link-time. When the parallelizer finds opportunities for auto-parallelization, it parallelizes loops and you are informed of the line or loop being parallelized if the -Minfo option is present on the compile line. See “–M Optimization Controls,” on page 229, for a complete specification of -Mconcur. A loop is considered parallelizable if doesn't contain any cross-iteration data dependencies. Cross-iteration dependencies from reductions and expandable scalars are excluded from consideration, enabling more loops to be parallelizable. In general, loops with calls are not parallelized due to unknown side effects. Also, loops with low trip counts are not parallelized since the overhead in setting up and starting a parallel loop will likely outweigh the potential benefits. In addition, the default is to not parallelize innermost loops, since these often by definition are vectorizable using SSE instructions and it is seldom profitable to both vectorize and parallelizeChapter 3. Using Optimization & Parallelization 33 the same loop, especially on multi-core processors. Compiler switches and directives are available to let you override most of these restrictions on auto-parallelization. Auto-parallelization Sub-options The parallelizer performs various operations that can be controlled by arguments to the –Mconcur command line option. The following sections describe these arguments that affect the operation of the vectorizer. In addition, these vectorizer operations can be controlled from within code using directives and pragmas. For details on the use of directives and pragmas, refer to Chapter 6, “Using Directives and Pragmas”. By default, –Mconcur without any sub-options is equivalent to: -Mconcur=dist:block This enables parallelization of loops with blocked iteration allocation across the available threads of execution. These defaults may vary depending on the target system. Altcode Option The option –Mconcur=altcode instructs the parallelizer to generate alternate serial code for parallelized loops. If altcode is specified without arguments, the parallelizer determines an appropriate cutoff length and generates serial code to be executed whenever the loop count is less than or equal to that length. If altcode:n is specified, the serial altcode is executed whenever the loop count is less than or equal to n. If noaltcode is specified, no alternate serial code is generated. Dist Option The option –Mconcur=dist:{block|cyclic} option specifies whether to assign loop iterations to the available threads in blocks or in a cyclic (round-robin) fashion. Block distribution is the default. If cyclic is specified, iterations are allocated to processors cyclically. That is, processor 0 performs iterations 0, 3, 6, etc.; processor 1 performs iterations 1, 4, 7, etc.; and processor 2 performs iterations 2, 5, 8, etc. Cncall Option The option –Mconcur=cncall specifies that it is safe to parallelize loops that contain subroutine or function calls. By default, such loops are excluded from consideration for auto-parallelization. Also, no minimum loop count threshold must be satisfied before parallelization will occur, and last values of scalars are assumed to be safe. The environment variable NCPUS is checked at runtime for a parallel program. If NCPUS is set to 1, a parallel program runs serially, but will use the parallel routines generated during compilation. If NCPUS is set to a value greater than 1, the specified number of processors will be used to execute the program. Setting NCPUS to a value exceeding the number of physical processors can produce inefficient execution. Executing a program on multiple processors in an environment where some of the processors are being time-shared with another executing job can also result in inefficient execution. As with the vectorizer, the -Mconcur option can speed up code if it contains well-behaved countable loops and/or computationally intensive nested loops that operate on arrays. However, it is possible that some codes will show a decrease in performance on multi-processor systems when compiled with -Mconcur due to parallelization overheads, memory bandwidth limitations in the target system, false-sharing of cache lines, orPGI® User’s Guide 34 other architectural or code-generation factors. For this reason, it is recommended that you check carefully whether particular program units or loops show improved performance when compiled using this option. If the compiler is not able to successfully auto-parallelize your application, you should refer to Chapter 5, “Using OpenMP”. It is possible that insertion of explicit parallelization directives or pragmas, and use of the –mp compiler option might enable the application to run in parallel. Loops That Fail to Parallelize In spite of the sophisticated analysis and transformations performed by the compiler, programmers will often note loops that are seemingly parallel, but are not parallelized. In this subsection, we look at some examples of common situations where parallelization does not occur. Innermost Loops As noted earlier in this chapter, the PGI compilers will not parallelize innermost loops by default, because it is usually not profitable. You can override this default using the command-line option –Mconcur=innermost. Timing Loops Often, loops will occur in programs that are similar to timing loops. The outer loop in the following example is one such loop. do j = 1, 2 do i = 1, n a(i) = b(i) + c(i) 1 enddo enddo The outer loop above is not parallelized because the compiler detects a cross-iteration dependence in the assignment to a(i). Suppose the outer loop were parallelized. Then both processors would simultaneously attempt to make assignments into a(1:n). Now in general the values computed by each processor for a(1:n) will differ, so that simultaneous assignment into a(1:n) will produce values different from sequential execution of the loops. In this example, values computed for a(1:n) don’t depend on j, so that simultaneous assignment by both processors will not yield incorrect results. However, it is beyond the scope of the compilers’ dependence analysis to determine that values computed in one iteration of a loop don’t differ from values computed in another iteration. So the worst case is assumed, and different iterations of the outer loop are assumed to compute different values for a(1:n). Is this assumption too pessimistic? If j doesn’t occur anywhere within a loop, the loop exists only to cause some delay, most probably to improve timing resolution. It is not usually valid to parallelize timing loops; to do so would distort the timing information for the inner loops. Scalars Quite often, scalars will inhibit parallelization of non-innermost loops. There are two separate cases that present problems. In the first case, scalars appear to be expandable, but appear in non-innermost loops, as in the following example. do j = 1, n x = b(j) do i = 1, n a(i,j) = x + c(i,j) Chapter 3. Using Optimization & Parallelization 35 enddo enddo There are a number of technical problems to be resolved in order to recognize expandable scalars in noninnermost loops. Until this generalization occurs, scalars like x in the preceding code segment inhibit parallelization of loops in which they are assigned. In the following example, scalar k is not expandable, and it is not an accumulator for a reduction. k = 1 do i = 1, n do j = 1, n 1 a(j,i) = b(k) * x enddo k = i 2 if (i .gt. n/2) k = n - (i - n/2) enddo If the outer loop is parallelized, conflicting values are stored into k by the various processors. The variable k cannot be made local to each processor because the value of k must remain coherent among the processors. It is possible the loop could be parallelized if all assignments to k are placed in critical sections. However, it is not clear where critical sections should be introduced because in general the value for k could depend on another scalar (or on k itself), and code to obtain the value of other scalars must reside in the same critical section. In the example above, the assignment to k within a conditional at label 2 prevents k from being recognized as an induction variable. If the conditional statement at label 2 is removed, k would be an induction variable whose value varies linearly with j, and the loop could be parallelized. Scalar Last Values During parallelization, scalars within loops often need to be privatized; that is, each execution thread has its own independent copy of the scalar. Problems can arise if a privatized scalar is accessed outside the loop. For example, consider the following loop: for (i = 1; i 5.0 ) t = x[i]; } v = t; The value of t may not be computed on the last iteration of the loop. Normally, if a scalar is assigned within a loop and used following the loop, the PGI compilers save the last value of the scalar. However, if the loop is parallelized and the scalar is not assigned on every iteration, it may be difficult, without resorting to costly critical sections, to determine on what iteration t is last assigned. Analysis allows the compiler to determine that a scalar is assigned on each iteration and hence that the loop is safe to parallelize if the scalar is used later, as illustrated in the following example. for ( i = 1; i < n; i++) { if ( x[i] > 0.0 ) { t = 2.0; } else { t = 3.0; y[i] = ...t; } } v = t;PGI® User’s Guide 36 where t is assigned on every iteration of the loop. However, there are cases where a scalar may be privatizable, but if it is used after the loop, it is unsafe to parallelize. Examine the following loop in which each use of t within the loop is reached by a definition from the same iteration. for ( i = 1; i < N; i++ ){ if( x[i] > 0.0 ){ t = x[i]; ... ... y[i] = ...t; } } v = t; Here t is privatizable, but the use of t outside the loop may yield incorrect results, since the compiler may not be able to detect on which iteration of the parallelized loop t is last assigned. The compiler detects the previous cases. When a scalar is used after the loop but is not defined on every iteration of the loop, parallelization does not occur. When the programmer knows that the scalar is assigned on the last iteration of the loop, the programmer may use a directive or pragma to let the compiler know the loop is safe to parallelize. The Fortran directive safe_lastval informs the compiler that, for a given loop, all scalars are assigned in the last iteration of the loop; thus, it is safe to parallelize the loop. We could add the following line to any of our previous examples. cpgi$l safe_lastval The resulting code looks similar to this: cpgi$l safe_lastval ... for (i = 1; i 5.0 ) t = x[i]; } v = t; In addition, a command-line option –Msafe_lastval, provides this information for all loops within the routines being compiled, which essentially provides global scope. Processor-Specific Optimization and the Unified Binary Different processors have differences, some subtle, in hardware features such as instruction sets and cache size. The compilers make architecture-specific decisions about things such as instruction selection, instruction scheduling, and vectorization. By default, the PGI compilers produce code specifically targeted to the type of processor on which the compilation is performed. That is, the default is to use all supported instructions wherever possible when compiling on a given system. As a result, executables created on a given system may not be usable on previous generation systems. For example, executables created on a Pentium 4 may fail to execute on a Pentium III or Pentium II. All PGI compilers have the capability of generating unified binaries, which provide a low-overhead means for generating a single executable that is compatible with and has good performance on more than one hardware platform. You can use the –tp option to control compilation behavior by specifying the processor or processors with which the generated code is compatible. The compilers generate and combine into one executable multipleChapter 3. Using Optimization & Parallelization 37 binary code streams, each optimized for a specific platform. At runtime, the one executable senses the environment and dynamically selects the appropriate code stream. For specific information on the –tp option, see –tp [,target...] . Executable size is automatically controlled via unified binary culling. Only those functions and subroutines where the target affects the generated code have unique binary images, resulting in a code-size savings of from 10% to 90% compared to generating full copies of code for each target. Programs can use PGI Unified Binary even if all of the object files and libraries are not compiled as unified binaries. Like any other object file, you can use PGI Unified Binary object files to create programs or libraries. No special start up code is needed; support is linked in from the PGI libraries. The -Mpfi option disables generation of PGI Unified Binary. Instead, the default target auto-detect rules for the host are used to select the target processor. Interprocedural Analysis and Optimization using –Mipa The PGI Fortran, C and C++ compilers use interprocedural analysis (IPA) that results in minimal changes to makefiles and the standard edit-build-run application development cycle. Other than adding –Mipa to the command line, no other changes are required. For reference and background, the process of building a program without IPA is described below, followed by the minor modifications required to use IPA with the PGI compilers. While the PGCC compiler is used here to show how IPA works, similar capabilities apply to each of the PGI Fortran, C and C++ compilers. Note The examples use Linux file naming conventions. On Windows, ‘.o’ files would be ‘.obj’ files, and ‘a.out’ files would be ‘.exe’ files. Building a Program Without IPA – Single Step Using the pgcc command-level compiler driver, multiple source files can be compiled and linked into a single executable with one command. The following example compiles and links three source files: % pgcc -o a.out file1.c file2.c file3.c In actuality, the pgcc driver executes several steps to produce the assembly code and object files corresponding to each source file, and subsequently to link the object files together into a single executable file. Thus, the command above is roughly equivalent to the following commands performed individually: % pgcc -S -o file1.s file1.c % as -o file1.o file1.s % pgcc -S -o file2.s file2.c % as -o file2.o file2.s % pgcc -S -o file3.s file3.c % as -o file3.o file3.s % pgcc -o a.out file1.o file2.o file3.o If any of the three source files is edited, the executable can be rebuilt with the same command line: % pgcc -o a.out file1.c file2.c file3.c This always works as intended, but has the side-effect of recompiling all of the source files, even if only one has changed. For applications with a large number of source files, this can be time-consuming and inefficient.PGI® User’s Guide 38 Building a Program Without IPA - Several Steps It is also possible to use individual pgcc commands to compile each source file into a corresponding object file, and one to link the resulting object files into an executable: % pgcc -c file1.c % pgcc -c file2.c % pgcc -c file3.c % pgcc -o a.out file1.o file2.o file3.o The pgcc driver invokes the compiler and assembler as required to process each source file, and invokes the linker for the final link command. If you modify one of the source files, the executable can be rebuilt by compiling just that file and then relinking: % pgcc -c file1.c % pgcc -o a.out file1.o file2.o file3.o Building a Program Without IPA Using Make The program compilation and linking process can be simplified greatly using the make utility on systems where it is supported. Suppose you create a makefile containing the following lines: a.out: file1.o file2.o file3.o pgcc $(OPT) -o a.out file1.o file2.o file3.o file1.o: file1.c pgcc $(OPT) -c file1.c file2.o: file2.c pgcc $(OPT) -c file2.c file3.o: file3.c pgcc $(OPT) -c file3.c It is then possible to type a single make command: % make The make utility determines which object files are out of date with respect to their corresponding source files, and invokes the compiler to recompile only those source files and to relink the executable. If you subsequently edit one or more source files, the executable can be rebuilt with the minimum number of recompilations using the same single make command. Building a Program with IPA Interprocedural analysis and optimization (IPA) by the PGI compilers alters the standard and make utility command-level interfaces as little as possible. IPA occurs in three phases: • Collection: Create a summary of each function or procedure, collecting the useful information for interprocedural optimizations. This is done during the compile step if the –Mipa switch is present on the command line; summary information is collected and stored in the object file. • Propagation: Process all the object files to propagate the interprocedural summary information across function and file boundaries. This is done during the link step, when all the object files are combined, if the –Mipa switch is present on the link command line. • Recompile/Optimization: Recompile each of the object files with the propagated interprocedural information, producing a specialized object file. This process is also done during the link step when the –Mipa switch is present on the link command line.Chapter 3. Using Optimization & Parallelization 39 When linking with –Mipa, the PGI compilers automatically regenerate IPA-optimized versions of each object file, essentially recompiling each file. If there are IPA-optimized objects from a previous build, the compilers will minimize the recompile time by reusing those objects if they are still valid. They will still be valid if the IPAoptimized object is newer than the original object file, and the propagated IPA information for that file has not changed since it was optimized. After each object file has been recompiled, the regular linker is invoked to build the application with the IPAoptimized object files. The IPA-optimized object files are saved in the same directory as the original object files, for use in subsequent program builds. Building a Program with IPA - Single Step By adding the –Mipa command line switch, several source files can be compiled and linked with interprocedural optimizations with one command: % pgcc -Mipa=fast -o a.out file1.c file2.c file3.c Just like compiling without –Mipa, the driver executes several steps to produce the assembly and object files to create the executable: % pgcc -Mipa=fast -S -o file1.s file1.c % as -o file1.o file1.s % pgcc -Mipa=fast -S -o file2.s file2.c % as -o file2.o file2.s % pgcc -Mipa=fast -S -o file3.s file3.c % as -o file3.o file3.s % pgcc -Mipa=fast -o a.out file1.o file2.o file3.o In the last step, an IPA linker is invoked to read all the IPA summary information and perform the interprocedural propagation. The IPA linker reinvokes the compiler on each of the object files to recompile them with interprocedural information. This creates three new objects with mangled names: file1_ipa5_a.out.oo.o, file2_ipa5_a.out.oo.o, file2_ipa5_a.out.oo.o The system linker is then invoked to link these IPA-optimized objects into the final executable. Later, if one of the three source files is edited, the executable can be rebuilt with the same command line: % pgcc -Mipa=fast -o a.out file1.c file2.c file3.c This will work, but again has the side-effect of compiling each source file, and recompiling each object file at link time. Building a Program with IPA - Several Steps Just by adding the –Mipa command-line switch, it is possible to use individual pgcc commands to compile each source file, followed by a command to link the resulting object files into an executable: % pgcc -Mipa=fast -c file1.c % pgcc -Mipa=fast -c file2.c % pgcc -Mipa=fast -c file3.c % pgcc -Mipa=fast -o a.out file1.o file2.o file3.o The pgcc driver invokes the compiler and assembler as required to process each source file, and invokes the IPA linker for the final link command. If you modify one of the source files, the executable can be rebuilt by compiling just that file and then relinking: % pgcc -Mipa=fast -c file1.cPGI® User’s Guide 40 % pgcc -Mipa=fast -o a.out file1.o file2.o file3.o When the IPA linker is invoked, it will determine that the IPA-optimized object for file1.o (file1_ipa5_a.out.oo.o) is stale, since it is older than the object file1.o, and hence will need to be rebuilt, and will reinvoke the compiler to generate it. In addition, depending on the nature of the changes to the source file file1.c, the interprocedural optimizations previously performed for file2 and file3 may now be inaccurate. For instance, IPA may have propagated a constant argument value in a call from a function in file1.c to a function in file2.c; if the value of the argument has changed, any optimizations based on that constant value are invalid. The IPA linker will determine which, if any, of any previously created IPA-optimized objects need to be regenerated, and will reinvoke the compiler as appropriate to regenerate them. Only those objects that are stale or which have new or different IPA information will be regenerated, which saves on compile time. Building a Program with IPA Using Make As in the previous two sections, programs can be built with IPA using the make utility, just by adding the –Mipa command-line switch: OPT=-Mipa=fast a.out: file1.o file2.o file3.o pgcc $(OPT) -o a.out file1.o file2.o file3.o file1.o: file1.c pgcc $(OPT) -c file1.c file2.o: file2.c pgcc $(OPT) -c file2.c file3.o: file3.c pgcc $(OPT) -c file3.c Using the single make command invokes the compiler to generate any object files that are out-of-date, then invoke pgcc to link the objects into the executable; at link time, pgcc calls the IPA linker to regenerate any stale or invalid IPA-optimized objects. % make Questions about IPA 1. Why is the object file so large? An object file created with –Mipa contains several additional sections. One is the summary information used to drive the interprocedural analysis. In addition, the object file contains the compiler internal representation of the source file, so the file can be recompiled at link time with interprocedural optimizations. There may be additional information when inlining is enabled. The total size of the object file may be 5-10 times its original size. The extra sections are not added to the final executable. 2. What if I compile with –Mipa and link without –Mipa? The PGI compilers generate a legal object file, even when the source file is compiled with –Mipa. If you compile with –Mipa and link without –Mipa, the linker is invoked on the original object files. A legal executable will be generated; while this will not have the benefit of interprocedural optimizations, any other optimizations will apply. 3. What if I compile without –Mipa and link with –Mipa? At link time, the IPA linker must have summary information about all the functions or routines used in the program. This information is created only when a file is compiled with –Mipa. If you compileChapter 3. Using Optimization & Parallelization 41 a file without –Mipa and then try to get interprocedural optimizations by linking with –Mipa, the IPA linker will issue a message that some routines have no IPA summary information, and will proceed to run the system linker using the original object files. If some files were compiled with –Mipa and others were not, it will determine the safest approximation of the IPA summary information for those files not compiled with –Mipa, and use that to recompile the other files using interprocedural optimizations. 4. Can I build multiple applications in the same directory with –Mipa? Yes. Suppose you have three source files: main1.c, main2.c, and sub.c, where sub.c is shared between the two applications. Suppose you build the first application with –Mipa, using this command: % pgcc -Mipa=fast -o app1 main1.c sub.c The the IPA linker creates two IPA-optimized object files: main1_ipa4_app1.o sub_ipa4_app1.oo It uses them to build the first application. Now suppose you build the second application using this command: % pgcc -Mipa=fast -o app2 main2.c sub.c The IPA linker creates two more IPA-optimized object files: main2_ipa4_app2.oo sub_ipa4_app2.oo Note There are now three object files for sub.c: the original sub.o, and two IPA-optimized objects, one for each application in which it appears. Note 5. How is the mangled name for the IPA-optimized object files generated? The mangled name has '_ipa' appended, followed by the decimal number of the length of the executable file name, followed by an underscore and the executable file name itself. The suffix is changed to .oo (on Linux) or .oobj (on Windows) so linking *.o or *.obj does not pull in the IPAoptimized objects. If the IPA linker determines that the file would not benefit from any interprocedural optimizations, it does not have to recompile the file at link time and uses the original object. Profile-Feedback Optimization using –Mpfi/–Mpfo The PGI compilers support many common profile-feedback optimizations, including semi-invariant value optimizations and block placement. These are performed under control of the –Mpfi/–Mpfo command-line options. When invoked with the –Mpfi option, the PGI compilers instrument the generated executable for collection of profile and data feedback information. This information can be used in subsequent compilations that include the –Mpfo optimization option. –Mpfi must be used at both compile-time and link-time. Programs compiled with –Mpfi include extra code to collect run-time statistics and write them out to a trace file. When the resulting program is executed, a profile feedback trace file pgfi.out is generated in the current working directory.PGI® User’s Guide 42 Note Programs compiled and linked with –Mpfi execute more slowly due to the instrumentation and data collection overhead. You should use executables compiled with –Mpfi only for execution of training runs. When invoked with the –Mpfo option, the PGI compilers use data from a pgfi.out profile feedback tracefile to enable or enhance certain performance optimizations. Use of this option requires the presence of a pgfi.out trace file in the current working directory. Default Optimization Levels The following table shows the interaction between the –O ,–g, and –M options. In the table, level can be 0, 1, 2, 3 or 4, and can be vect, concur, unroll or ipa. The default optimization level is dependent upon these command-line options. Table 3.1. Optimization and –O, –g and –M Options Optimize Option Debug Option –M Option Optimization Level none none none 1 none none –M 2 none –g none 0 –O none or –g none 2 –Olevel none or –g none level –Olevel <= 2 none or –g –M 2 Code that is not optimized yet compiled using the option –O0 can be significantly slower than code generated at other optimization levels. The –M option, where is vect, concur, unroll or ipa, sets the optimization level to 2 if no –O options are supplied. The –fast and –fastsse options set the optimization level to a target-dependent optimization level if no –O options are supplied. Local Optimization Using Directives and Pragmas Command-line options let you specify optimizations for an entire source file. Directives supplied within a Fortran source file and pragmas supplied within a C or C++ source file provide information to the compiler and alter the effects of certain command-line options or the default behavior of the compiler. (Many directives have a corresponding command-line option). While a command line option affects the entire source file that is being compiled, directives and pragmas let you do the following: • Apply, or disable, the effects of a particular command-line option to selected subprograms or to selected loops in the source file (for example, an optimization). • Globally override command-line options. • Tune selected routines or loops based on your knowledge or on information obtained through profiling.Chapter 3. Using Optimization & Parallelization 43 Chapter 6, “Using Directives and Pragmas” provides details on how to add directives and pragmas to your source files. Execution Timing and Instruction Counting As this chapter shows, once you have a program that compiles, executes and gives correct results, you may optimize your code for execution efficiency. Selecting the correct optimization level requires some thought and may require that you compare several optimization levels before arriving at the best solution. To compare optimization levels, you need to measure the execution time for your program. There are several approaches you can take for timing execution. You can use shell commands that provide execution time statistics, you can include function calls in your code that provide timing information, or you can profile sections of code. Timing functions available with the PGI compilers include 3F timing routines, the SECNDS pre-declared function in PGF77 or PGF95, or the SYSTEM_CLOCK or CPU_CLOCK intrinsics in PGF95 or PGHPF. In general, when timing a program, you should try to eliminate or reduce the amount of system level activities such as program loading, I/O and task switching. The following example shows a fragment that indicates how to use SYSTEM_CLOCK effectively within an F90/ F95 or HPF program unit. Example 3.4. Using SYSTEM_CLOCK code fragment . . . integer :: nprocs, hz, clock0, clock1 real :: time integer, allocatable :: t(:) !hpf$ distribute t(cyclic) #if defined (HPF) allocate (t(number_of_processors())) #elif defined (_OPENMP) allocate (t(OMP_GET_NUM_THREADS())) #else allocate (t(1)) #endif call system_clock (count_rate=hz) ! call system_clock(count=clock0) < do work> call system_clock(count=clock1) ! t = (clock1 - clock0) time = real (sum(t)) / (real(hz) * size(t)) . . . Portability of Multi-Threaded Programs on Linux PGI has created two libraries - libpgbind and libnuma - to handle the variations between various implementations of Linux. Some older versions of Linux are lacking certain features that support multi-processor and multi-core systems, in particular, the system call 'sched_setaffinity' and the numa library libnuma. The PGI run-time library uses these features to implement some –Mconcur and –mp operations. These variations have led to the creation of two PGI libraries, libpgbind and libnuma. These libraries are used on all 32-bit and 64-bit Linux systems. These libraries are not needed on Windows.PGI® User’s Guide 44 When a program is linked with the system libnuma library, the program depends on the libnuma library in order to run. On systems without a system libnuma library, the PGI version of libnuma provides the required stubs so that the program links and executes properly. If the program is linked with libpgbind and libnuma, the differences between systems is masked by the different versions of libpgbind and libnuma. In particular, PGI provides two versions of libpgbind - one for systems with working support for sched_setaffinity and another for systems that do not. When a program is deployed to the target system, the proper set of libraries, real or stub, should be deployed with the program. This facility requires that the program be dynamically linked with libpgbind and libnuma. libpgbind On some versions of Linux, the system call sched_setaffinity does not exist or does not work. The library libpgbind is used to work around this problem. During installation, a small test program is compiled, linked, and executed. If the test program compiles, links, and executes successfully, the installed version of libpgbind calls the system sched_setaffinity, otherwise the stub version is installed. libnuma Not all systems have libnuma. Typically, only numa systems will have this library. PGI supplies a stub version of libnuma which satisfies the calls from the PGI runtime to libnuma. Note that libnuma is a shared library that is linked dynamically at runtime. The reason to have a numa library on all systems is to allow multi-threaded programs (e.g. compiled with –Mconcur or –mp ) to be compiled, linked, and executed without regard to whether the host or target systems has a numa library. When the numa library is not available, a multi-threaded program still runs because the calls to the numa library are satisfied by the PGI stub library. During installation, the installation procedure checks for the existence of a real libnuma among the system libraries. If the real library is not found, the PGI stub version is substituted.45 Chapter 4. Using Function Inlining Function inlining replaces a call to a function or a subroutine with the body of the function or subroutine. This can speed up execution by eliminating parameter passing and function/subroutine call and return overhead. It also allows the compiler to optimize the function with the rest of the code. Note that using function inlining indiscriminately can result in much larger code size and no increase in execution speed. The PGI compilers provide two categories of inlining: • Automatic inlining - During the compilation process, a hidden pass precedes the compilation pass. This hidden pass extracts functions that are candidates for inlining. The inlining of functions occurs as the source files are compiled. • Inline libraries - You create inline libraries, for example using the pgf95 compiler driver and the –Mextract and –o options. There is no hidden extract pass but you must ensure that any files that depend on the inline library use the latest version of the inline library. There are important restrictions on inlining. Inlining only applies to certain types of functions. Refer to “Restrictions on Inlining,” on page 49 for more details on function inlining limitations. This chapter describes how to use the following options related to function inlining: –Mextract –Minline –Mrecursive Invoking Function Inlining To invoke the function inliner, use the -Minline option. If you do not specify an inline library, the compiler performs a special prepass on all source files named on the compiler command line before it compiles any of them. This pass extracts functions that meet the requirements for inlining and puts them in a temporary inline library for use by the compilation pass. Several -Minline suboptions let you determine the selection criteria for functions to be inlined. These suboptions include:PGI® User’s Guide 46 except:func Inlines all eligible functions except func, a function in the source text. You can us a comma-separated list to specify multiple functions. [name:]func Inlines all functions in the source text whose name matches func. You can us a comma-separated list to specify multiple functions. [size:]n Inlines functions with a statement count less than or equal to n, the specified size. Note The size n may not exactly equal the number of statements in a selected function; the size parameter is merely a rough gauge. levels:n Inlines n level of function calling levels. The default number is one (1). Using a level greater than one indicates that function calls within inlined functions may be replaced with inlined code. This approach allows the function inliner to automatically perform a sequence of inline and extract processes. [lib:]file.ext Instructs the inliner to inline the functions within the library file file.ext. If no inline library is specified, functions are extracted from a temporary library created during an extract prepass. Tip Create the library file using the -Mextract option. If you specify both a function name and a size n, the compiler inlines functions that match the function name or have n or fewer statements. If a name is used without a keyword, then a name with a period is assumed to be an inline library and a name without a period is assumed to be a function name. If a number is used without a keyword, the number is assumed to be a size. In the following example, the compiler inlines functions with fewer than approximately 100 statements in the source file myprog.f and writes the executable code in the default output file a.out. $ pgf95 -Minline=size:100 myprog.f Refer to “–M Options by Category,” on page 219 for more information on the -Minline options. Using an Inline Library If you specify one or more inline libraries on the command line with the -Minline option, the compiler does not perform an initial extract pass. The compiler selects functions to inline from the specified inline library. If you also specify a size or function name, all functions in the inline library meeting the selection criteria are selected for inline expansion at points in the source text where they are called. If you do not specify a function name or a size limitation for the -Minline option, the compiler inlines every function in the inline library that matches a function in the source text.Chapter 4. Using Function Inlining 47 In the following example, the compiler inlines the function proc from the inline library lib.il and writes the executable code in the default output file a.out. $ pgf95 -Minline=name:proc,lib:lib.il myprog.f The following command line is equivalent to the preceding line, with the exception that in the following example does not use the keywords name: and lib:. You typically use keywords to avoid name conflicts when you use an inline library name that does not contain a period. Otherwise, without the keywords, a period informs the compiler that the file on the command line is an inline library. $ pgf95 -Minline=proc,lib.il myprog.f Creating an Inline Library You can create or update an inline library using the -Mextract command-line option. If you do not specify selection criteria with the -Mextract option, the compiler attempts to extract all subprograms. Several -Mextract options let you determine the selection criteria for creating or updating an inline library. These selection criteria include: func Extracts the function func. You can us a comma-separated list to specify multiple functions. [name:]func Extracts the functions whose name matches func, a function in the source text. [size:]n Limits the size of the extracted functions to functions with a statement count less than or equal to n, the specified size. Note The size n may not exactly equal the number of statements in a selected function; the size parameter is merely a rough gauge. [lib:]ext.lib Stores the extracted information in the library directory ext.lib. If no inline library is specified, functions are extracted to a temporary library created during an extract prepass for use during the compilation stage. When you use the -Mextract option, only the extract phase is performed; the compile and link phases are not performed. The output of an extract pass is a library of functions available for inlining. This output is placed in the inline library file specified on the command line with the –o filename specification. If the library file exists, new information is appended to it. If the file does not exist, it is created. You can use a command similar to the following: $ pgf95 -Mextract=lib:lib.il myfunc.f You can use the -Minline option with the -Mextract option. In this case, the extracted library of functions can have other functions inlined into the library. Using both options enables you to obtain more than one level of inlining. In this situation, if you do not specify a library with the –Minline option, the inline processPGI® User’s Guide 48 consists of two extract passes. The first pass is a hidden pass implied by the –Minline option, during which the compiler extracts functions and places them into a temporary library. The second pass uses the results of the first pass but puts its results into the library that you specify with the –o option. Working with Inline Libraries An inline library is implemented as a directory with each inline function in the library stored as a file using an encoded form of the inlinable function. A special file named TOC in the inline library directory serves as a table of contents for the inline library. This is a printable, ASCII file which can be examined to find out information about the library contents, such as names and sizes of functions, the source file from which they were extracted, the version number of the extractor which created the entry, etc. Libraries and their elements can be manipulated using ordinary system commands. • Inline libraries can be copied or renamed. • Elements of libraries can be deleted or copied from one library to another. • The ls or dir command can be used to determine the last-change date of a library entry. Dependencies When a library is created or updated using one of the PGI compilers, the last-change date of the library directory is updated. This allows a library to be listed as a dependence in a makefile or a PVF property and ensures that the necessary compilations are performed when a library is changed. Updating Inline Libraries - Makefiles If you use inline libraries you need to be certain that they remain up to date with the source files into which they are inlined. One way to assure inline libraries are updated is to include them in a makefile. The makefile fragment in the following example assumes the file utils.f contains a number of small functions used in the files parser.f and alloc.f. The makefile also maintains the inline library utils.il. The makefile updates the library whenever you change utils.f or one of the include files it uses. In turn, the makefile compiles parser.f and alloc.f whenever you update the library. Example 4.1. Sample Makefile SRC = mydir FC = pgf95 FFLAGS = -O2 main.o: $(SRC)/main.f $(SRC)/global.h $(FC) $(FFLAGS) -c $(SRC)/main.f utils.o: $(SRC)/utils.f $(SRC)/global.h $(SRC)/utils.h $(FC) $(FFLAGS) -c $(SRC)/utils.f utils.il: $(SRC)/utils.f $(SRC)/global.h $(SRC)/utils.h $(FC) $(FFLAGS) -Mextract=15 -o utils.il utils.f parser.o: $(SRC)/parser.f $(SRC)/global.h utils.il $(FC) $(FFLAGS) -Minline=utils.il -c $(SRC)/parser.f alloc.o: $(SRC)/alloc.f $(SRC)/global.h utils.il $(FC) $(FFLAGS) -Minline=utils.il -c $(SRC)/alloc.f myprog: main.o utils.o parser.o alloc.o $(FC) -o myprog main.o utils.o parser.o alloc.oChapter 4. Using Function Inlining 49 Error Detection during Inlining To request inlining information from the compiler when you invoke the inliner, specify the –Minfo=inline option. For example: $ pgf95 -Minline=mylib.il -Minfo=inline myext.f Examples Assume the program dhry consists of a single source file dhry.f. The following command line builds an executable file for dhry in which proc7 is inlined wherever it is called: $ pgf95 dhry.f -Minline=proc7 The following command lines build an executable file for dhry in which proc7 plus any functions of approximately 10 or fewer statements are inlined (one level only). Note The specified functions are inlined only if they are previously placed in the inline library, temp.il, during the extract phase. $ pgf95 dhry.f -Mextract=lib:temp.il $ pgf95 dhry.f -Minline=10,proc7,temp.il Using the same source file dhry.f, the following example builds an executable for dhry in which all functions of roughly ten or fewer statements are inlined. Two levels of inlining are performed. This means that if function A calls function B, and B calls C, and both B and C are inlinable, then the version of B which is inlined into A will have had C inlined into it. $ pgf95 dhry.f -Minline=size:10,levels:2 Restrictions on Inlining The following Fortran subprograms cannot be extracted: • Main or BLOCK DATA programs. • Subprograms containing alternate return, assigned GO TO, DATA, SAVE, or EQUIVALENCE statements. • Subprograms containing FORMAT statements. • Subprograms containing multiple entries. A Fortran subprogram is not inlined if any of the following applies: • It is referenced in a statement function. • A common block mismatch exists; in other words, the caller must contain all common blocks specified in the callee, and elements of the common blocks must agree in name, order, and type (except that the caller's common block can have additional members appended to the end of the common block). • An argument mismatch exists; in other words, the number and type (size) of actual and formal parameters must be equal.PGI® User’s Guide 50 • A name clash exists, such as a call to subroutine xyz in the extracted subprogram and a variable named xyz in the caller. The following types of C and C++ functions cannot be inlined: • Functions containing switch statements • Functions which reference a static variable whose definition is nested within the function • Function which accept a variable number of arguments Certain C/C++ functions can only be inlined into the file that contains their definition: • Static functions • Functions which call a static function • Functions which reference a static variable51 Chapter 5. Using OpenMP The PGF77 and PGF95 Fortran compilers support the OpenMP Fortran Application Program Interface. The PGCC ANSI C and C++ compilers support the OpenMP C/C++ Application Program Interface. The OpenMP shared-memory parallel programming model is defined by a collection of compiler directives or pragmas, library routines, and environment variables that can be used to specify shared-memory parallelism in Fortran, C and C++ programs. The Fortran directives and C/C++ pragmas include a parallel region construct for writing coarse grain SPMD programs, work-sharing constructs which specify that DO loop iterations or C/C++ for loop iterations should be split among the available threads of execution, and synchronization constructs. The data environment is controlled either by using clauses on the directives or pragmas, or with additional directives or pragmas. Run-time library routines are provided to query the parallel runtime environment, for example to determine how many threads are participating in execution of a parallel region. Finally, environment variables are provided to control the execution behavior of parallel programs. For more information on OpenMP, see www.openmp.org. Fortran directives and C/C++ pragmas allow users to place hints in the source code to help the compiler generate better assembly code. You typically use directives and pragmas to control the actions of the compiler in a particular portion of a program without affecting the program as a whole. You place them in your source code where you want them to take effect. Typically they stay in effect from the point where included until the end of the compilation unit or until another directive or pragma changes its status. Fortran Parallelization Directives Parallelization directives are comments in a program that are interpreted by the PGI Fortran compilers when the option –mp is specified on the command line. The form of a parallelization directive is: sentinel directive_name [clauses] With the exception of the SGI-compatible DOACROSS directive, the sentinel must comply with these rules: • Be one of these: !$OMP, C$OMP, or *$OMP. • Must start in column 1 (one). • Must appear as a single word without embedded white space. • The sentinel marking a DOACROSS directive is C$.PGI® User’s Guide 52 The directive_name can be any of the directives listed in Table 5.1, “Directive and Pragma Summary Table,” on page 53. The valid clauses depend on the directive. Chapter 16, “OpenMP Reference Information” provides a list of directives and their clauses, their usage, and examples. In addition to the sentinel rules, the directive must also comply with these rules: • Standard Fortran syntax restrictions, such as line length, case insensitivity, and so on, apply to the directive line. • Initial directive lines must have a space or zero in column six. • Continuation directive lines must have a character other than a space or a zero in column six. Continuation lines for C$DOACROSS directives are specified using the C$& sentinel. • Directives which are presented in pairs must be used in pairs. Clauses associated with directives have these characteristics: • The order in which clauses appear in the parallelization directives is not significant. • Commas separate clauses within the directives, but commas are not allowed between the directive name and the first clause. • Clauses on directives may be repeated as needed, subject to the restrictions listed in the description of each clause. C/C++ Parallelization Pragmas Parallelization pragmas are #pragma statements in a C or C++ program that are interpreted by the PGCC C and C++ compilers when the option -mp is specified on the command line. The form of a parallelization pragma is: #pragma omp pragma_name [clauses] The format for pragmas include these standards: • The pragmas follow the conventions of the C and C++ standards. • Whitespace can appear before and after the #. • Preprocessing tokens following the #pragma omp are subject to macro replacement. • The order in which clauses appear in the parallelization pragmas is not significant. • Spaces separate clauses within the pragmas. • Clauses on pragmas may be repeated as needed subject to the restrictions listed in the description of each clause. For the purposes of the OpenMP pragmas, a C/C++ structured block is defined to be a statement or compound statement (a sequence of statements beginning with { and ending with }) that has a single entry and a single exit. No statement or compound statement is a C/C++ structured block if there is a jump into or out of that statement.Chapter 5. Using OpenMP 53 Directive and Pragma Recognition The compiler option –mp enables recognition of the parallelization directives and pragmas. The use of this option also implies: –Mreentrant Local variables are placed on the stack and optimizations, such as -Mnoframe, that may result in nonreentrant code are disabled. –Miomutex For directives, critical sections are generated around Fortran I/O statements. For pragmas, calls to I/O library functions are system-dependent and are not necessarily guaranteed to be thread-safe. I/O library calls within parallel regions should be protected by critical regions, as shown in the examples in Chapter 16, “OpenMP Reference Information”, to ensure they function correctly on all systems. Directive and Pragma Summary Table The following table provides a brief summary of the directives and pragmas that PGI supports. For complete information on these statement and examples, refer to Chapter 16, “OpenMP Reference Information”. Table 5.1. Directive and Pragma Summary Table Fortran Directive and C/C++ Pragma Description “ATOMIC ,” on page 244 omp atomic Semantically equivalent to enclosing a single statement in the CRITCIAL...END CRITICAL directive or omp critical pragma. Note: Only certain statements are allowed. “BARRIER,” on page 244 omp barrier Synchronizes all threads at a specific point in a program so that all threads complete work to that point before any thread continues. “CRITICAL ... END CRITICAL and omp critical ,” on page 245 Defines a subsection of code within a parallel region, a critical section, which is executed one thread at a time. “DO ... END DO and omp for ,” on page 247 Provides a mechanism for distribution of loop iterations across the available threads in a parallel region. “C$DOACROSS ,” on page 246 Specifies that the compiler should parallelize the loop to which it applies, even though that loop is not contained within a parallel region. “FLUSH and omp flush pragma ,” on page 249 When this appears, all processor-visible data items, or, when a list is present (FLUSH [list]), only those specified in the list, are written to memory, thus ensuring that all the threads in a team have a consistent view of certain objects in memory. “MASTER ... END MASTER and omp master pragma ” Designates code that executes on the master thread and that is skipped by the other threads.PGI® User’s Guide 54 Fortran Directive and C/C++ Pragma Description “ORDERED ,” on page 251 omp ordered Defines a code block that is executed by only one thread at a time, and in the order of the loop iterations; this makes the ordered code block sequential, while allowing parallel execution of statements outside the code block. “PARALLEL DO ,” on page 254 omp parallel for Enables you to specify which loops the compiler should parallelize. “PARALLEL ... END PARALLEL and omp parallel ,” on page 251 Supports a fork/join execution model in which a single thread executes all statements until a parallel region is encountered. “PARALLEL SECTIONS ,” on page 255 omp parallel sections Defines a non-iterative work-sharing construct without the need to define an enclosing parallel region. “PARALLEL WORKSHARE ,” on page 256 Provides a short form method for including a WORKSHARE directive inside a PARALLEL construct. “SECTIONS … END SECTIONS ,” on page 257 omp sections Defines a non-iterative work-sharing construct within a parallel region. “SINGLE ... END SINGLE,” on page 257S omp master Designates code that executes on a single thread and that is skipped by the other threads. “THREADPRIVATE ,” on page 258 omp threadprivate When a common block or variable that is initialized appears in this directive or pragma, each thread’s copy is initialized once prior to its first use. “WORKSHARE ... END WORKSHARE,” on page 259 omp for Provides a mechanism to effect parallel execution of noniterative but implicitly data parallel constructs. Directive and Pragma Clauses Some directives and pragmas accept clauses that further allow a user to control the scope attributes of variables for the duration of the directive or pragma. Not all clauses are allowed on all directives, so the clauses that are valid are included with the description of the directive and pragma. Typically, if no data scope clause is specified for variables, the default scope is share. Table 16.2, “Directive and Pragma Clauses ,” on page 260 provides a brief summary of the clauses associated with OPENMP directives and pragmas that PGI supports.Chapter 5. Using OpenMP 55 For complete information on these clauses, refer to the OpenMP documentation available on the WorldWide Web. Run-time Library Routines User-callable functions are available to the Fortran and to the OpenMP C/C++ programmer to query and alter the parallel execution environment. Any C/C++ program unit that invokes these functions should include the statement #include . The omp.h include file contains definitions for each of the C/C++ library routines and two required type definitions. For example, to use the omp_get_num_threads function, use this syntax: #include int omp_get_num_threads(void); The following table summarizes the run-time library calls. Note The Fortran call is shown first followed by the equivalent C++ call. Table 5.2. Run-time Library Call Summary Run-time Library Call with Examples omp_get_num_threads Returns the number of threads in the team executing the parallel region from which it is called. When called from a serial region, this function returns 1. A nested parallel region is the same as a single parallel region. By default, the value returned by this function is equal to the value of the environment variable OMP_NUM_THREADS or to the value set by the last previous call to omp_set_num_threads(). Fortran integer omp_get_num_threads() C/C++ #include int omp_get_num_threads(void); omp_set_num_threads Sets the number of threads to use for the next parallel region. This subroutine or function can only be called from a serial region of code. If it is called from within a parallel region, or from within a subroutine or function that is called from within a parallel region, the results are undefined. Further, this subroutine or function has precedence over the OMP_NUM_THREADS environment variable. Fortran subroutine omp_set_num_threads(scalar_integer_exp) C/C++ #include void omp_set_num_threads(int num_threads); omp_get_thread_num Returns the thread number within the team. The thread number lies between 0 and omp_get_num_threads()-1. When called from a serial region, this function returns 0. A nested parallel region is the same as a single parallel region. Fortran integer omp_get_thread_num()PGI® User’s Guide 56 Run-time Library Call with Examples C/C++ #include int omp_get_thread_num(void); omp_get_max_threads Returns the maximum value that can be returned by calls to omp_get_num_threads(). If omp_set_num_threads() is used to change the number of processors, subsequent calls to omp_get_max_threads() return the new value. Further, this function returns the maximum value whether executing from a parallel or serial region of code. Fortran integer function omp_get_max_threads() C/C++ #include void omp_get_max_threads(void) omp_get_num_procs Returns the number of processors that are available to the program Fortran integer function omp_get_num_procs() C/C++ #include int omp_get_num_procs(void); omp_get_stack_size Returns the value of the OpenMP internal control variable that specifies the size that is used to create a stack for a newly created thread. This value may not be the size of the stack of the current thread. Fortran !omp_get_stack_size interface function omp_get_stack_size () use omp_lib_kinds integer ( kind=OMP_STACK_SIZE_KIND ) :: omp_get_stack_size end function omp_get_stack_size end interface C/C++ #include size_t omp_get_stack_size(void); omp_set_stack_size Changes the value of the OpenMP internal control variable that specifies the size to be used to create a stack for a newly created thread. The integer argument specifies the stack size in kilobytes. The size of the stack of the current thread cannot be changed. In the PGI implementation, all OpenMP or auto-parallelization threads are created just prior to the first parallel region; therefore, only calls to omp_set_stack_size() that occur prior to the first region have an effect. Fortran: subroutine omp_set_stack_size(integer(KIND=OMP_STACK_SIZE_KIND)) C/C++ #include void omp_set_stack_size(size_t); omp_in_parallel Returns whether or not the call is within a parallel region. Returns .TRUE.for directives and non-zero for pragmas if called from within a parallel region and .FALSE. for directives and zero for pragmas if called outside of a parallel region. When calledChapter 5. Using OpenMP 57 Run-time Library Call with Examples from within a parallel region that is serialized, for example in the presence of an IF clause evaluating .FALSE.for directives and zero for pragmas, the function returns .FALSE. for directives and zero for pragmas. Fortran logical function omp_in_parallel() C/C++ #include int omp_in_parallel(void); omp_set_dynamic Allows automatic dynamic adjustment of the number of threads used for execution of parallel regions. This function is recognized, but currently has no effect. Fortran subroutine omp_set_dynamic(scalar_logical_exp) C/C++ #include void omp_set_dynamic(int dynamic_threads); omp_get_dynamic Allows the user to query whether automatic dynamic adjustment of the number of threads used for execution of parallel regions is enabled. This function is recognized, but currently always returns .FALSE.for directives and zero for pragmas. Fortran logical function omp_get_dynamic() C/C++ #include void omp_get_dynamic(void); omp_set_nested Allows enabling/disabling of nested parallel regions. This function is recognized, but currently has no effect. Fortran subroutine omp_set_nested(scalar_logical_exp) C/C++ #include void omp_set_nested(int nested); omp_get_nested Allows the user to query whether dynamic adjustment of the number of threads available for execution of parallel regions is enabled. This function is recognized, but currently always returns .FALSE. for directives and zero for pragmas. Fortran logical function omp_get_nested() C/C++ #include int omp_get_nested(void); omp_get_wtime Returns the elapsed wall clock time, in seconds, as a DOUBLE PRECISION value for directives and as a floating-point double value for pragmas. Times returned are per-thread times, and are not necessarily globally consistent across all threads. Fortran double precision function omp_get_wtime() C/C++ #include double omp_get_wtime() omp_get_wtickPGI® User’s Guide 58 Run-time Library Call with Examples Returns the resolution of omp_get_wtime(), in seconds, as a DOUBLE PRECISION value for Fortran directives and as a floating-point double value for C/C++ pragmas. Fortran double precision function omp_get_wtick() C/C++ #include double omp_get_wtick() omp_init_lock Initializes a lock associated with the variable lock for use in subsequent calls to lock routines. The initial state of the lock is unlocked. If the variable is already associated with a lock, it is illegal to make a call to this routine. Fortran subroutine omp_init_lock(integer_var) C/C++ #include void omp_init_lock(omp_lock_t *lock); void omp_init_nest_lock(omp_nest_lock_t *lock); omp_destroy_lock Disassociates a lock associated with the variable. Fortran subroutine omp_destroy_lock(integer_var) C/C++ #include void omp_destroy_lock(omp_lock_t *lock); void omp_destroy_nest_lock(omp_nest_lock_t *lock); omp_set_lock Causes the calling thread to wait until the specified lock is available. The thread gains ownership of the lock when it is available. If the variable is not already associated with a lock, it is illegal to make a call to this routine. Fortran subroutine omp_set_lock(integer_var) C/C++ #include void omp_set_lock(omp_lock_t *lock); void omp_set_nest_lock(omp_nest_lock_t *lock); omp_unset_lock Causes the calling thread to release ownership of the lock associated with integer_var. If the variable is not already associated with a lock, it is illegal to make a call to this routine. Fortran subroutine omp_unset_lock(integer_var) C/C++ #include void omp_unset_lock(omp_lock_t *lock); void omp_unset_nest_lock(omp_nest_lock_t *lock); omp_test_lock Causes the calling thread to try to gain ownership of the lock associated with the variable. The function returns .TRUE.for directives and non-zero for pragmas if the thread gains ownership of the lock; otherwise it returns .FALSE. for directives and zero for pragmas. If the variable is not already associated with a lock, it is illegal to make a call to this routine. Fortran logical function omp_test_lock(integer_var) C/C++ #include int omp_test_lock(omp_lock_t *lock);Chapter 5. Using OpenMP 59 Run-time Library Call with Examples int omp_test_nest_lock(omp_nest_lock_t *lock); Environment Variables You can use OpenMP environment variables to control the behavior of OpenMP programs. These environment variables allow you to set and pass information that can alter the behavior of directives and pragmas. The following summary table is a quick reference for the OPENMP environment variables that PGI uses. Detailed descriptions of each of these variables immediately follows the table. Table 5.3. OpenMP-related Environment Variable Summary Table Environment Variable Default Description OMP_DYNAMIC FALSE Currently has no effect. Typically enables (TRUE) or disables (FALSE) the dynamic adjustment of the number of threads. OMP_NESTED FALSE Currently has no effect. Typically enables (TRUE) or disables (FALSE) nested parallelism. OMP_NUM_THREADS 1 Specifies the number of threads to use during execution of parallel regions. OMP_SCHEDULE STATIC with chunk size of 1 Specifies the type of iteration scheduling and optionally the chunk size to use for omp for and omp parallel for loops that include the run-time schedule clause. OMP_STACK_SIZE Overrides the default stack size for a newly created thread. OMP_WAIT_POLICY ACTIVE Sets the behavior of idle threads, defining whether they spin or sleep when idle. The values are ACTIVE and PASSIVE. OMP_DYNAMIC OMP_DYNAMIC currently has no effect. Typically this variable enables (TRUE) or disables (FALSE) the dynamic adjustment of the number of threads. OMP_NESTED OMP_NESTED currently has no effect. Typically this variable enables (TRUE) or disables (FALSE) nested parallelism. OMP_NUM_THREADS OMP_NUM_THREADS specifies the number of threads to use during execution of parallel regions. The default value for this variable is 1. For historical reasons, the environment variable NCPUS is supported with the same functionality. In the event that both OMP_NUM_THREADS and NCPUS are defined, the value of OMP_NUM_THREADS takes precedence.PGI® User’s Guide 60 NOTE OMP_NUM_THREADS threads is used to execute the program regardless of the number of physical processors available in the system. As a result, you can run programs using more threads than physical processors and they execute correctly. However, performance of programs executed in this manner can be unpredictable, and oftentimes will be inefficient. OMP_SCHEDULE OMP_SCHEDULE specifies the type of iteration scheduling to use for DO and PARALLEL DO loop directives and for omp for and omp parallel for loop pragmas that include the SCHEDULE(RUNTIME) clause, described in “Schedule Clause,” on page 261. The default value for this variable is STATIC If the optional chunk size is not set, a chunk size of 1 is assumed except in the case of a static schedule. For a static schedule, the default is as defined in “DO ... END DO and omp for ,” on page 247. Examples of the use of OMP_SCHEDULE are as follows: For Fortran: $ setenv OMP_SCHEDULE "STATIC, 5" $ setenv OMP_SCHEDULE "GUIDED, 8" $ setenv OMP_SCHEDULE "DYNAMIC" For C/C++: $ setenv OMP_SCHEDULE "static, 5" $ setenv OMP_SCHEDULE "guided, 8" $ setenv OMP_SCHEDULE "dynamic" OMP_STACK_SIZE OMP_STACK_SIZE is an OpenMP 3.0 feature that controls the size of the stack for newly-created threads. This variable overrides the default stack size for a newly created thread. The value is a decimal integer followed by an optional letter B, K, M, or G, to specify bytes, kilobytes, megabytes, and gigabytes, respectively. If no letter is used, the default is kilobytes. There is no space between the value and the letter; for example, one megabyte is specified 1M. The following example specifies a stack size of 8 megabytes. $ setenv OMP_STACK_SIZE 8M The API functions related to OMP_STACK_SIZE are omp_set_stack_size and omp_get_stack_size. The environment variable OMP_STACK_SIZE is read on program start-up. If the program changes its own environment, the variable is not re-checked. This environment variable takes precedence over MPSTKZ, described in “MPSTKZ,” on page 94. Once a thread is created, its stack size cannot be changed. In the PGI implementation, threads are created prior to the first parallel region and persist for the life of the program. The stack size of the main program is set at program start-up and is not affected by OMP_STACK_SIZE. For more information on controlling the program stack size in Linux, refer to “Running Parallel Programs on Linux,” on page 9. OMP_WAIT_POLICY OMP_WAIT_POLICY sets the behavior of idle threads - specifically, whether they spin or sleep when idle. The values are ACTIVE and PASSIVE, with ACTIVE the default. The behavior defined by OMP_WAIT_POLICY is also shared by threads created by auto-parallelization.Chapter 5. Using OpenMP 61 • Threads are considered idle when waiting at a barrier, when waiting to enter a critical region, or when unemployed between parallel regions. • Threads waiting for critical sections always busy wait (ACTIVE). • Barriers always busy wait (ACTIVE), with calls to sched_yield determined by the environment variable MP_SPIN, described in “MP_SPIN,” on page 95. • Unemployed threads during a serial region can either busy wait using the barrier (ACTIVE) or politely wait using a mutex (PASSIVE). This choice is set by OMP_WAIT_POLICY, so the default is ACTIVE. When ACTIVE is set, idle threads consume 100% of their CPU allotment spinning in a busy loop waiting to restart in a parallel region. This mechanism allows for very quick entry into parallel regions, a condition which is good for programs that enter and leave parallel regions frequently. When PASSIVE is set, idle threads wait on a mutex in the operating system and consume no CPU time until being restarted. Passive idle is best when a program has long periods of serial activity or when the program runs on a multi-user machine or otherwise shares CPU resources.6263 Chapter 6. Using Directives and Pragmas It is often useful to be able to alter the effects of certain command line options or default behavior of the compiler. Fortran directives and C/C++ pragmas provide pragmatic information that control the actions of the compiler in a particular portion of a program without affecting the program as a whole. That is, while a command line option affects the entire source file that is being compiled, directives and pragmas apply, or disable, the effects of a command line option to selected subprograms or to selected loops in the source file, for example, to optimize a specific area of code. Use directives and pragmas to tune selected routines or loops. PGI Proprietary Fortran Directives PGI Fortran compilers support proprietary directives that may have any of the following forms: !pgi$g directive !pgi$r directive !pgi$l directive !pgi$ directive Note If the input is in fixed format, the comment character must begin in column 1 and either * or C is allowed in place of !. The scope indicator occurs after the $; this indicator controls the scope of the directive. Some directives ignore the scope indicator. The valid scopes, shown above, are: g (global) indicates the directive applies to the end of the source file. r (routine) indicates the directive applies to the next subprogram. l (loop) indicates the directive applies to the next loop (but not to any loop contained within the loop body). Loop-scoped directives are only applied to DO loops.PGI® User’s Guide 64 blank indicates that the default scope for the directive is applied. The body of the directive may immediately follow the scope indicator. Alternatively, any number of blanks may precede the name of the directive. Any names in the body of the directive, including the directive name, may not contain embedded blanks. Blanks may surround any special characters, such as a comma or an equal sign. The directive name, including the directive prefix, may contain upper or lower case letters, and the case is not significant. Case is significant for any variable names that appear in the body of the directive if the command line option –Mupcase is selected. For compatibility with other vendors’ directives, the prefix cpgi$ may be substituted with cdir$ or cvd$. Note If the input is in fixed format, the comment character must begin in column 1. PGI Proprietary C and C++ Pragmas Pragmas may be supplied in a C/C++ source file to provide information to the compiler. Many pragmas have a corresponding command-line option. Pragmas may also toggle an option, selectively enabling and disabling the option. The general syntax of a pragma is: #pragma [ scope ] pragma-body The optional scope field is an indicator for the scope of the pragma; some pragmas ignore the scope indicator. The valid scopes are: global indicates the pragma applies to the entire source file. routine indicates the pragma applies to the next function. loop indicates the pragma applies to the next loop (but not to any loop contained within the loop body). Loopscoped pragmas are only applied to for and while loops. If a scope indicator is not present, the default scope, if any, is applied. Whitespace must appear after the pragma keyword and between the scope indicator and the body of the pragma. Whitespace may also surround any special characters, such as a comma or an equal sign. Case is significant for the names of the pragmas and any variable names that appear in the body of the pragma. PGI Proprietary Optimization Fortran Directive and C/C++ Pragma Summary The following table summarizes the supported Fortran directives and C/C++ pragmas. The following terms are useful in understanding the table.Chapter 6. Using Directives and Pragmas 65 • Functionality is a brief summary of the way to use the directive or pragma. For a complete description, refer to Chapter 17, “Directives and Pragmas Reference,” on page 263. • Many of the directives and pragmas can be preceded by NO. The default entry indicates the default for the directive or pragma. N/A appears if a default does not apply. • The scope entry indicates the allowed scope indicators for each directive or pragma, with L for loop, R for routine, and G for global. The default scope is surrounded by parentheses and N/A appears if the directive or pragma is not available in the given language. Note The “*” in the scope indicates this: For routine-scoped directive The scope includes the code following the directive or pragma until the end of the routine. For globally-scoped directive The scope includes the code following the directive or pragma until the end of the file rather than for the entire file. The name of a directive or pragma may also be prefixed with –M. For example, the directive –Mbounds is equivalent to bounds and –Mopt is equivalent to opt; and the pragma –Mnoassoc is equivalent to noassoc, and –Mvintr is equivalent to vintr. Table 6.1. Proprietary Optimization-Related Fortran Directive and C/C++ Pragma Summary Directive or pragma Functionality Default Fortran Scope C/C++ Scope altcode (noaltcode) Do/don’t generate alternate code for vectorized and parallelized loops. altcode (L)RG (L)RG assoc (noassoc) Do/don’t perform associative transformations. assoc (L)RG (L)RG bounds (nobounds) Do/don’t perform array bounds checking. nobounds (R)G* (R)G cncall (nocncall) Loops are considered for parallelization, even if they contain calls to user-defined subroutines or functions, or if their loop counts do not exceed usual thresholds. nocncall (L)RG (L)RG concur (noconcur) Do/don’t enable auto-concurrentization of loops. concur (L)RG (L)RG depchk (nodepchk) Do/don’t ignore potential data dependencies. depchk (L)RG (L)RG eqvchk (noeqvchk) Do/don’t check EQUIVALENCE for data dependencies. eqvchk (L)RG N/A fcon (nofcon) Do/don’t assume unsuffixed real constants are single precision. nofcon N/A (R)GPGI® User’s Guide 66 Directive or pragma Functionality Default Fortran Scope C/C++ Scope invarif (noinvarif) Do/don’t remove invariant if constructs from loops. invarif (L)RG (L)RG ivdep Ignore potential data dependencies. ivdep (L)RG N/A lstval (nolstval) Do/don’t compute last values. lstval (L)RG (L)RG opt Select optimization level. N/A (R)G (R)G safe (nosafe) Do/don’t treat pointer arguments as safe. safe N/A (R)G safe_lastval Parallelize when loop contains a scalar used outside of loop. not enabled (L) (L) safeptr (nosafeptr) Do/don’t ignore potential data dependencies to pointers. nosafeptr N/A L(R)G single (nosingle) Do/don’t convert float parameters to double. nosingle N/A (R)G* tp Generate PGI Unified Binary code optimized for specified targets. N/A (R)G (R)G unroll (nounroll) Do/don’t unroll loops. nounroll (L)RG (L)RG vector (novector) Do/don't perform vectorizations. vector (L)RG* (L)RG vintr (novintr) Do/don’t recognize vector intrinsics. vintr (L)RG (L)RG Scope of Fortran Directives and Command-Line options During compilation the effect of a directive may be to either turn an option on, or turn an option off. Directives apply to the section of code following the directive, corresponding to the specified scope, which may include the following loop, the following routine, or the rest of the program. This section presents several examples that show the effect of directives as well as their scope. Consider the following Fortran code: integer maxtime, time parameter (n = 1000, maxtime = 10) double precision a(n,n), b(n,n), c(n,n) do time = 1, maxtime do i = 1, n do j = 1, n c(i,j) = a(i,j) + b(i,j) enddo enddo enddo end When compiled with –Mvect, both interior loops are interchanged with the outer loop. $ pgf95 -Mvect dirvect1.f Directives alter this behavior either globally or on a routine or loop by loop basis. To assure that vectorization is not applied, use the novector directive with global scope.Chapter 6. Using Directives and Pragmas 67 cpgi$g novector integer maxtime, time parameter (n = 1000, maxtime = 10) double precision a(n,n), b(n,n), c(n,n) do time = 1, maxtime do i = 1, n do j = 1, n c(i,j) = a(i,j) + b(i,j) enddo enddo enddo end In this version, the compiler disables vectorization for the entire source file. Another use of the directive scoping mechanism turns an option on or off locally, either for a specific procedure or for a specific loop: integer maxtime, time parameter (n = 1000, maxtime = 10) double precision a(n,n), b(n,n), c(n,n) cpgi$l novector do time = 1, maxtime do i = 1, n do j = 1, n c(i,j) = a(i,j) + b(i,j) enddo enddo enddo end Loop level scoping does not apply to nested loops. That is, the directive only applies to the following loop. In this example, the directive turns off vector transformations for the top-level loop. If the outer loop were a timing loop, this would be a practical use for a loop-scoped directive. Scope of C/C++ Pragmas and Command-Line Options During compilation a pragma either turns an option on or turns an option off. Pragmas apply to the section of code corresponding to the specified scope - either the entire file, the following loop, or the following or current routine. This section presents several examples showing the effect of pragmas and the use of the pragma scope indicators. Note In all cases, pragmas override a corresponding command-line option. For pragmas that have only routine and global scope, there are two rules for determining the scope of a pragma. We cover these special scope rules at the end of this section. Consider the program: main() { float a[100][100], b[100][100], c[100][100]; int time, maxtime, n, i, j; maxtime=10; n=100; for (time=0; time[,[,...]] where is any valid variable, member, or array element reference.PGI® User’s Guide 70 Format Requirements NOTE The sentinel for prefetch directives is c$mem, which is distinct from the cpgi$ sentinel used for optimization directives. Any prefetch directives that use the cpgi$ sentinel will be ignored by the PGI compilers. • The "c" must be in column 1. • Either * or ! is allowed in place of c. • The scope indicators g, r and l used with the cpgi$ sentinel are not supported. • The directive name, including the directive prefix, may contain upper or lower case letters and is case insensitive (case is not significant). • Any variable names that appear in the body of the directive are case sensitive if the command line option –Mupcase is selected. Sample Usage Example 6.1. Prefetch Directive Use This example uses prefetch directives to prefetch data in a matrix multiplication inner loop where a row of one source matrix has been gathered into a contiguous vector. real*8 a(m,n), b(n,p), c(m,p), arow(n) ... do j = 1, p c$mem prefetch arow(1),b(1,j) c$mem prefetch arow(5),b(5,j) c$mem prefetch arow(9),b(9,j) do k = 1, n, 4 c$mem prefetch arow(k+12),b(k+12,j) c(i,j) = c(i,j) + arow(k) * b(k,j) c(i,j) = c(i,j) + arow(k+1) * b(k+1,j) c(i,j) = c(i,j) + arow(k+2) * b(k+2,j) c(i,j) = c(i,j) + arow(k+3) * b(k+3,j) enddo enddo This pattern of prefetch directives causes the compiler to emit prefetch instructions whereby elements of arow and b are fetched into the data cache starting four iterations prior to first use. By varying the prefetch distance in this way, it is sometimes possible to reduce the effects of main memory latency and improve performance. !DEC$ Directive PGI Fortran compilers for Microsoft Windows support several de-facto standard Fortran directives that help with interlanguage calling and importing and exporting routines to and from DLLs. These directives all take the form: !DEC$ directiveChapter 6. Using Directives and Pragmas 71 Format Requirements You must follow the following format requirements for the directive to be recognized in your program: • The directive must begin in line 1 when the file is fixed format or compiled with –Mfixed. • The directive prefix !DEC$ requires a space between the prefix and the directive keyword ATTRIBUTES. • The ! must begin the prefix when compiling Fortran 90 freeform format. • The characters C or * can be used in place of ! in either form of the prefix when compiling fixed-form (F77- style) format. • The directives are completely case insensitive. ALIAS Directive This directive specifies an alternative name with which to resolve a routine. The syntax for the ALIAS directive is either of the following: !DEC$ ALIAS routine_name , external_name !DEC$ ALIAS routine_name : external_name In this syntax, external_name is used as the external name for the specified routine_name. If external_name is an identifier name, the name (in uppercase) is used as the external name for the specified routine_name. If external_name is a character constant, it is used as-is; the string is not changed to uppercase, nor are blanks removed. You can also supply an alias for a routine using the ATTRIBUTES directive, described in the next section: !DEC$ ATTIRIBUTES ALIAS : 'alias_name' :: routine_name This directive specifies an alternative name with which to resolve a routine, as illustrated in the following code fragment that provides external names for three routines. In this fragment, the external name for sub1 is name1, for sub2 is name2, and for sub3 is name3. subroutine sub !DEC$ alias sub1 , 'name1' !DEC$ alias sub2 : 'name2' !DEC$ attributes alias : 'name3' :: sub3 ATTRIBUTES Directive !DEC$ ATTRIBUTES where is one of: ALIAS : 'alias_name' :: routine_name Specifies an alternative name with which to resolve routine_name. C :: routine_name Specifies that the routine routine_name will have its arguments passed by value. When a routine marked C is called, arguments, except arrays, are sent by value. For characters, only the first character is passed. The standard Fortran calling convention is pass by reference.PGI® User’s Guide 72 DLLEXPORT :: name Specifies that 'name' is being exported from a DLL. DLLIMPORT :: name Specifies that 'name' is being imported from a DLL. REFERENCE :: name Specifies that the argument 'name' is being passed by reference. Often this attribute is used in conjunction with STDCALL, where STDCALL refers to an entire routine; then individual arguments are modified with REFERENCE. STDCALL :: routine_name Specifies that routine 'routine_name' will have its arguments passed by value. When a routine marked STDCALL is called, arguments (except arrays and characters) will be sent by value. The standard Fortran calling convention is pass by reference. VALUE :: name Specifies that the argument 'name' is being passed by value. DISTRIBUTE Directive The syntax for the DISTRIBUTE directive is either of the following: !DEC$ DISTRIBUTE POINT !DEC$ DISTRIBUTEPOINT This directive is front-end based, and tells the compiler at what point within a loop to split into two loops. subroutine dist(a,b,n) integer i integer n integer a(*) integer b(*) do i = 1,n a(i) = a(i)+2 !DEC$ DISTRIBUTE POINT b(i) = b(i)*4 enddo end subroutine ALIAS Directive !DEC$ ALIAS is the same as !DEC$ ATTRIBUTES ALIAS C$PRAGMA C When programs are compiled using one of the PGI Fortran compilers on Linux, Win64, OSX, and SUA systems, an underscore is appended to Fortran global names, including names of functions, subroutines, and common blocks. This mechanism distinguishes Fortran name space from C/C++ name space. You can use C$PRAGMA C in the Fortran program to call a C/C++ function from Fortran. The statement would look similar to this:Chapter 6. Using Directives and Pragmas 73 C$PRAGMA C(name[,name]...) NOTE This statement directs the compiler to recognize the routine 'name' as a C function, thus preventing the Fortran compiler from appending an underscore to the routine name. On Win32 systems the C$PRAGMA C as well as the attributes C and STDCALL may effect other changes on argument passing as well as on the names of the routine. For more information on this topic, refer to “Win32 Calling Conventions,” on page 120.7475 Chapter 7. Creating and Using Libraries A library is a collection of functions or subprograms that are grouped for reference and ease of linking. This chapter discusses issues related to PGI-supplied compiler libraries. Specifically, it addresses the use of C/C++ builtin functions in place of the corresponding libc routines, creation of dynamically linked libraries, known as shared objects or shared libraries, and math libraries. Note This chapter does not duplicate material related to using libraries for inlining, described in “Creating an Inline Library,” on page 47 or information related to run-time library routines available to OpenMP programmers, described in “Run-time Library Routines,” on page 55. This chapter has examples that include the following options related to creating and using libraries. –Bdynamic –fpic –Mmakeimplib –Bstatic –implib –o –c –l –shared –def –Mmakedll Using builtin Math Functions in C/C++ The name of the math header file is math.h. Include the math header file in all of your source files that use a math library routine as in the following example, which calculates the inverse cosine of pi/3. #include #define PI 3.1415926535 void main() { double x, y; x = PI/3.0; y = acos(x); }PGI® User’s Guide 76 Including math.h will cause PGCC C and C++ to use builtin functions, which are much more efficient than library calls. In particular, the following intrinsics calls will be processed using builtins if you include math.h: abs atan atan2 cos exp fabs fmax fmaxf fmin fminf log log10 pow sin sqrt tan Creating and Using Shared Object Files on Linux All of the PGI Fortran, C, and C++ compilers support creation of shared object files. Unlike statically linked object and library files, shared object files link and resolve references with an executable at runtime via a dynamic linker supplied with your operating system. The PGI compilers must generate position independent code to support creation of shared objects by the linker. However, this is not the default. You must create object files with position independent code and shared object files that will include them. The following steps describe how to create and use a shared object file. 1. Create an object file with position independent code. To do this, compile your code with the appropriate PGI compiler using the –fpic option, or one of the equivalent options, such as –fPIC, –Kpic, and –KPIC, which are supported for compatibility with other systems. For example, use the following command to create an object file with position independent code using pgf95: % pgf95 -c -fpic tobeshared.f 2. Produce a shared object file. To do this, use the appropriate PGI compiler to invoke the linker supplied with your system. It is customary to name such files using a .so filename extension. On Linux, you do this by passing the –shared option to the linker: % pgf95 -shared -o tobeshared.so tobeshared.o Note Compilation and generation of the shared object can be performed in one step using both the –fpic option and the appropriate option for generation of a shared object file. 3. Use a shared object file. To do this, us the appropriate PGI compiler to compile and link the program which will reference functions or subroutines in the shared object file, and list the shared object on the link line, as shown here: % pgf95 -o myprog myprog.f tobeshared.so 4. Make the executable available. You now have an executable myprog which does not include any code from functions or subroutines in tobeshared.so, but which can be executed and dynamically linked to that code.Chapter 7. Creating and Using Libraries 77 By default, when the program is linked to produce myprog, no assumptions are made on the location of tobeshared.so. Therefore, for myprog to execute correctly, you must initialize the environment variable LD_LIBRARY_PATH to include the directory containing tobeshared.so. If LD_LIBRARY_PATH is already initialized, it is important not to overwrite its contents. Assuming you have placed tobeshared.so in a directory /home/myusername/bin, you can initialize LD_LIBRARY_PATH to include that directory and preserve its existing contents, as shown in the following: % setenv LD_LIBRARY_PATH "$LD_LIBRARY_PATH":/home/myusername/bin If you know that tobeshared.so will always reside in a specific directory, you can create the executable myprog in a form that assumes this using the –R link-time option. For example, you can link as follows: % pgf95 -o myprog myprof.f tobeshared.so -R/home/myusername/bin Note As with the –L option, there is no space between –R and the directory name. If the –R option is used, it is not necessary to initialize LD_LIBRARY_PATH. In the previous example, the dynamic linker will always look in /home/myusername/bin to resolve references to tobeshared.so. By default, if the LD_LIBRARY_PATH environment variable is not set, the linker will only search /usr/lib and /lib for shared objects. The command ldd is a useful tool when working with shared object files and executables that reference them. When applied to an executable, as shown in the following example, ldd lists all shared object files referenced in the executable along with the pathname of the directory from which they will be extracted. % ldd myprog If the pathname is not hard-coded using the–R option, and if LD_LIBRARY_PATH is not initialized, the pathname is listed as “not found”. For more information on ldd, its options and usage, see the online man page for ldd. Creating and Using Shared Object Files in SFU and 32-bit SUA Note The information included in this section is valid for 32-bit only. The 32-bit version of PGI Workstation for SFU and SUA uses the GNU ld for its linker, unlike previous versions that used the Windows LINK.EXE. With this change, the PGI compilers and tools for SFU and 32-bit SUA are now able to generate shared object (.so) files. You use the –shared switch to generate a shared object file. The following example creates a shared object file, hello.so, and then creates a program called hello that uses it. 1. Create a shared object file. To produce a shared object file, use the appropriate PGI compiler to invoke the linker supplied with your system. It is customary to name such files using a .so filename extension. In the following example, we use hello.so:PGI® User’s Guide 78 % pgcc -shared hello.c -o hello.so 2. Create a program that uses the shared object, in this example, hello.so: % pgcc hi.c hello.so -o hello Shared Object Error Message When running a program that uses a shared object, you may encounter an error message similar to the following: hello: error in loading shared libraries hello.so: cannot open shared object file: No such file or directory This error message either means that the shared object file does not exist or that the location of this file is not specified in your LD_LIBRARY_PATH variable. To specify the location of the .so, add the shared object’s directory to your LD_LIBRARY_PATH variable. For example, the following command adds the current directory to your LD_LIBRARY_PATH variable using C shell syntax: % setenv LD_LIBRARY_PATH "$LD_LIBRARY_PATH":"./" Shared Object-Related Compiler Switches The following switches support shared object files in SFU and SUA. For more detailed information on these switches, refer to Chapter 15, “Command-Line Options Reference,” on page 163. –shared Used to produce shared libraries –Bdynamic Passed to linker; specify dynamic binding Note On Windows, -Bstatic and -Bdynamic must be used for both compiling and linking. –Bstatic Passed to linker; specify static binding –Bstatic_pgi Use to link static PGI libraries with dynamic system libraries; implies –Mnorpath. –L Passed to linker; add directory to library search path. –Mnorpath Don't add –rpath paths to link line. –Mnostartup Do not use standard linker startup file. –Mnostdlib Do not use standard linker libraries. –R Passed to linker; just link symbols from object, or add directory to run time search path.Chapter 7. Creating and Using Libraries 79 PGI Runtime Libraries on Windows The PGI runtime libraries on Windows are available in both static and dynamicallyy-linked (DLL) versions. The static libraries are used by default. • You can use the dynamically-linked version of the routine by specifying –Bdynamic at both compile and link time. • You can explicitly specify static linking, the default, by using -Bstatic at compile and link time. For details on why you might choose one type of linking over another type, refer to “Creating and Using Dynamic-Link Libraries on Windows,” on page 80. Creating and Using Static Libraries on Windows The Microsoft Library Manager (LIB.EXE) is the tool that is typically used to create and manage a static library of object files on Windows. LIB is provided with the PGI compilers as part of the Microsoft Open Tools. Refer to www.msdn2.com for a complete LIB reference - searching for LIB.EXE. For a list of available options, invoke LIB with the /? switch. For compatibility with legacy makefiles, PGI provides wrappers for LIB and LINK called ar. This version of ar is compatible with Womdpws amd pbject-file formats. PGi also provides ranlib as a placeholder for legacy makefile support. ar command The ar command is a legacy archive wrapper that interprets legacy ar command line options and translates these to LINK/LIB options. You can use it to create libraries of object files. Syntax: The syntax for the ar command is this: ar [options] [archive] [object file]. Where: • The first argument must be a command line switch, and the leading dash on the first option is optional. • The single character options, such as –d and –v, may be combined into a single option, as –dv. Thus, ar dv, ar -dv, and ar -d -v all mean the same thing. • The first non-switch argument must be the library name. • One (and only one) of –d, –r, –t, or –x must appear on the command line. Options The options available for the ar command are these:PGI® User’s Guide 80 –c This switch is for compatibility; it is ignored. –d The named object files are deleted from the library. –r The named object files are replaced in or added to the library. ranlib command The ranlib command is a wrapper that allows use of legacy scripts and makefiles that use the ranlib command. The command actually does nothing; it merely exists for compatibility. Syntax: The syntax for the ranlib command is this: DOS> ranlib [options] [archive] Options The options available for the ranlib command are these: –help Short help information is printed out. –V Version information is printed out. Creating and Using Dynamic-Link Libraries on Windows There are several differences between static and dynamic-link libraries on Windows. Libraries of either type are used when resolving external references for linking an executable, but the process differs for each type of library. When linking with a static library, the code needed from the library is incorporated into the executable. When linking with a DLL, external references are resolved using the DLL's import library, not the DLL itself. The code in the DLL associated with the external references does not become a part of the executable. The DLL is loaded when the executable that needs it is run. For the DLL to be loaded in this manner, the DLL must be in your path. Static libraries and DLLs also handle global data differently. Global data in static libraries is automatically accessible to other objects linked into an executable. Global data in a DLL can only be accessed from outside the DLL if the DLL exports the data and the image that uses the data imports it. To this end the C compilers support the Microsoft storage class extensions __declspec(dllimport) and __declspec(dllexport). These extensions may appear as storage class modifiers and enable functions and data to be imported and exported: extern int __declspec(dllimport) intfunc(); float __declspec(dllexport) fdata;Chapter 7. Creating and Using Libraries 81 The PGI Fortran compilers support the DEC$ATTRIBUTES extensions DLLIMPORT and DLLEXPORT: cDEC$ ATTRIBUTES DLLEXPORT :: object [,object] ... cDEC$ ATTRIBUTES DLLIMPORT :: object [,object] ... Here c is one of C, c, !, or *. object is the name of the subprogram or common block that is exported or imported. Note that common block names are enclosed within slashes (/). In example: cDEC$ ATTRIBUTES DLLIMPORT :: intfunc !DEC$ ATTRIBUTES DLLEXPORT :: /fdata/ For more information on these extensions, refer to “!DEC$ Directive,” on page 70. The Examples in this section further illustrate the use of these extensions. To create a DLL from the command line, use the –Mmakedll option. The following switches apply to making and using DLLs with the PGI compilers: –Bdynamic Compile for and link to the DLL version of the PGI runtime libraries. This flag is required when linking with any DLL built by the PGI compilers. This flag corresponds to the /MD flag used by Microsoft’s cl compilers. –Bstatic Compile for and link to the static version of the PGI runtime libraries. This flag corresponds to the /MT flag used by Microsoft’s cl compilers. –Mmakedll Generate a dynamic-link library or DLL. Implies –Bdynamic. –Mmakeimplib Generate an import library without generating a DLL. Use this flag when you want to generate an import library for a DLL but are not yet ready to build the DLL itself. This situation might arise, for example, when building DLLs with mutual imports, as shown in Example 7.4, “Build DLLs Containing Circular Mutual Imports: Fortran,” on page 86. –o Passed to the linker. Name the DLL or import library . –def When used with –Mmakedll, this flag is passed to the linker and a .def file named is generated for the DLL. The .def file contains the symbols exported by the DLL. Generating a .def file is not required when building a DLL but can be a useful debugging tool if the DLL does not contain the symbols that you expect it to contain. When used with –Mmakeimplib, this flag is passed to lib which requires a .def file to create an import library. The .def file can be empty if the list of symbols to export are passed to lib on the command line or explicitly marked as dllexport in the source code. –implib Passed to the linker. Generate an import library named for the DLL. A DLL’s import library is the interface used when linking an executable that depends on routines in a DLL.PGI® User’s Guide 82 To use the PGI compilers to create an executable that links to the DLL form of the runtime, use the compiler flag –Bdynamic. The executable built will be smaller than one built without –Bdynamic; the PGI runtime DLLs, however, must be available on the system where the executable is run. The –Bdynamic flag must be used when an executable is linked against a DLL built by the PGI compilers. The following examples outline how to use –Bdynamic, –Mmakedll and –Mmakeimplib to build and use DLLs with the PGI compilers. Example 7.1. Build a DLL: Fortran In this example we build a DLL out of a single source file, object1.f, which exports data and a subroutine using DLLEXPORT. The main source file, prog1.f, uses DLLIMPORT to import the data and subroutine from the DLL. object1.f subroutine sub1(i) !DEC$ ATTRIBUTES DLLEXPORT :: sub1 integer i common /acommon/ adata integer adata !DEC$ ATTRIBUTES DLLEXPORT :: /acommon/ print *, "sub1 adata", adata print *, "sub1 i ", i adata = i end prog1.f program prog1 common /acommon/ adata integer adata external sub1 !DEC$ ATTRIBUTES DLLIMPORT:: sub1, /acommon/ adata = 11 call sub1(12) print *, "main adata", adata end Step 1: Create the DLL obj1.dll and its import library obj1.lib using the following series of commands: % pgf95 -Bdynamic -c object1.f % pgf95 -Mmakedll object1.obj -o obj1.dll Step 2: Compile the main program: % pgf95 -Bdynamic -o prog1 prog1.f -defaultlib:obj1 The –Mdll switch causes the compiler to link against the PGI runtime DLLs instead of the PGI runtime static libraries. The –Mdll switch is required when linking against any PGI-compiled DLL, such as obj1.dll. The #defaultlib: switch specifies that obj1.lib, the DLL’s import library, should be used to resolve imports. Step 3: Ensure that obj1.dll is in your path, then run the executable prog1 to determine if the DLL was successfully created and linked:Chapter 7. Creating and Using Libraries 83 % prog1 sub1 adata 11 sub1 i 12 main adata 12 Should you wish to change obj1.dll without changing the subroutine or function interfaces, no rebuilding of prog1 is necessary. Just recreate obj1.dll and the new obj1.dll is loaded at runtime. Example 7.2. Build a DLL: C In this example, we build a DLL out of a single source file, object2.c, which exports data and a subroutine using __declspec(dllexport). The main source file, prog2.c, uses __declspec(dllimport) to import the data and subroutine from the DLL. object2.c int __declspec(dllexport) data; void __declspec(dllexport) func2(int i) { printf("func2: data == %d\n", data); printf("func2: i == %d\n", i); data = i; } prog2.c int __declspec(dllimport) data; void __declspec(dllimport) func2(int); int main() { data = 11; func2(12); printf("main: data == %d\n",data); return 0; } Step 1: Create the DLL obj2.dll and its import library obj2.lib using the following series of commands: % pgcc -Bdynamic -c object2.c % pgcc -Mmakedll object2.obj -o obj2.dll Step 2: Compile the main program: % pgcc -Bdynamic -o prog2 prog2.c -defaultlib:obj2 The –Bdynamic switch causes the compiler to link against the PGI runtime DLLs instead of the PGI runtime static libraries. The –Bdynamic switch is required when linking against any PGI-compiled DLL such as obj2.dll. The #defaultlib: switch specifies that obj2.lib, the DLL’s import library, should be used to resolve the imported data and subroutine in prog2.c. Step 3: Ensure that obj2.dll is in your path, then run the executable prog2 to determine if the DLL was successfully created and linked:PGI® User’s Guide 84 % prog2 func2: data == 11 func2: i == 12 main: data == 12 Should you wish to change obj2.dll without changing the subroutine or function interfaces, no rebuilding of prog2 is necessary. Just recreate obj2.dll and the new obj2.dll is loaded at runtime. Example 7.3. Build DLLs Containing Circular Mutual Imports: C In this example we build two DLLs, obj3.dll and obj4.dll, each of which imports a routine that is exported by the other. To link the first DLL, the import library for the second DLL must be available. Usually an import library is created when a DLL is linked. In this case, however, the second DLL cannot be linked without the import library for the first DLL. When such circular imports exist, an import library for one of the DLLs must be created in a separate step without creating the DLL. The PGI drivers call the Microsoft lib tool to create import libraries in this situation. Once the DLLs are built, we can use them to build the main program. /* object3.c */ void __declspec(dllimport) func_4b(void); void __declspec(dllexport) func_3a(void) { printf("func_3a, calling a routine in obj4.dll\n"); func_4b(); } void __declspec(dllexport) func_3b(void) { printf("func_3b\n"); } /* object4.c */ void __declspec(dllimport) func_3b(void); void __declspec(dllexport) func_4a(void) { printf("func_4a, calling a routine in obj3.dll\n"); func_3b(); } void __declspec(dllexport) func_4b(void) { printf("func_4b\n"); } /* prog3.c */ void __declspec(dllimport) func_3a(void); void __declspec(dllimport) func_4a(void); int main() { func_3a(); func_4a(); return 0; }Chapter 7. Creating and Using Libraries 85 Step 1: Use –Mmakeimplib with the PGI compilers to build an import library for the first DLL without building the DLL itself. % pgcc -Bdynamic -c object3.c % pgcc -Mmakeimplib -o obj3.lib object3.obj The –def= option can also be used with –Mmakeimplib. Use a .def file when you need to export additional symbols from the DLL. A .def file is not needed in this example because all symbols are exported using __declspec(dllexport). Step 2: Use the import library, obj3.lib, created in Step 1, to link the second DLL. % pgcc -Bdynamic -c object4.c % pgcc -Mmakedll -o obj4.dll object4.obj -defaultlib:obj3 Step 3: Use the import library, obj4.lib, created in Step 2, to link the first DLL. % pgcc -Mmakedll -o obj3.dll object3.obj -defaultlib:obj4 Step 4: Compile the main program and link against the import libraries for the two DLLs. % pgcc -Bdynamic prog3.c -o prog3 -defaultlib:obj3 -defaultlib:obj4 Step 5: Execute prog3.exe to ensure that the DLLs were create properly. % prog3 func_3a, calling a routine in obj4.dll func_4b func_4a, calling a routine in obj3.dll func_3bPGI® User’s Guide 86 Example 7.4. Build DLLs Containing Circular Mutual Imports: Fortran In this example we build two DLLs when each DLL is dependent on the other, and use them to build the main program. In the following source files, object2.f95 makes calls to routines defined in object3.f95, and vice versa. This situation of mutual imports requires two steps to build each DLL. In this example we build two DLLs, obj2.dll and obj3.dll, each of which imports a routine that is exported by the other. To link the first DLL, the import library for the second DLL must be available. Usually an import library is created when a DLL is linked. In this case, however, the second DLL cannot be linked without the import library for the first DLL. When such circular imports exist, an import library for one of the DLLs must be created in a separate step without creating the DLL. The PGI drivers call the Microsoft lib tool to create import libraries in this situation. Once the DLLs are built, we can use them to build the main program. object2.f95 subroutine func_2a external func_3b !DEC$ ATTRIBUTES DLLEXPORT :: func_2a !DEC$ ATTRIBUTES DLLIMPORT :: func_3b print*,"func_2a, calling a routine in obj3.dll" call func_3b() end subroutine subroutine func_2b !DEC$ ATTRIBUTES DLLEXPORT :: func_2b print*,"func_2b" end subroutine object3.f95 subroutine func_3a external func_2b !DEC$ ATTRIBUTES DLLEXPORT :: func_3a !DEC$ ATTRIBUTES DLLIMPORT :: func_2b print*,"func_3a, calling a routine in obj2.dll" call func_2b() end subroutine subroutine func_3b !DEC$ ATTRIBUTES DLLEXPORT :: func_3b print*,"func_3b" end subroutine prog2.f95 program prog2 external func_2a external func_3a !DEC$ ATTRIBUTES DLLIMPORT :: func_2a !DEC$ ATTRIBUTES DLLIMPORT :: func_3a call func_2a() call func_3a() end program Step 1: Use –Mmakeimplib with the PGI compilers to build an import library for the first DLL without building the DLL itself. % pgf95 -Bdynamic -c object2.f95 % pgf95 -Mmakeimplib -o obj2.lib object2.objChapter 7. Creating and Using Libraries 87 Tip The -def= option can also be used with -Mmakeimplib. Use a .def file when you need to export additional symbols from the DLL. A .def file is not needed in this example because all symbols are exported using DLLEXPORT. Step 2: Use the import library, obj2.lib, created in Step 1, to link the second DLL. % pgf95 -Bdynamic -c object3.f95 % pgf95 -Mmakedll -o obj3.dll object3.obj -defaultlib:obj2 Step 3: Use the import library, obj3.lib, created in Step 2, to link the first DLL. % pgf95 -Mmakedll -o obj2.dll object2.obj -defaultlib:obj3 Step 4: Compile the main program and link against the import libraries for the two DLLs. % pgf95 -Bdynamic prog2.f95 -o prog2 -defaultlib:obj2 -defaultlib:obj3 Step 5: Execute prog2 to ensure that the DLLs were created properly: % prog2 func_2a, calling a routine in obj3.dll func_3b func_3a, calling a routine in obj2.dll func_2b Example 7.5. Import a Fortran module from a DLL In this example we import a Fortran module from a DLL. We use the source file my_module_def.f90 to create a DLL containing a Fortran module. We then use the source file my_module_use.f90 to build a program that imports and uses the Fortran module from my_module_def.f90. defmod.f90 module testm type a_type integer :: an_int end type a_type type(a_type) :: a, b !DEC$ ATTRIBUTES DLLEXPORT :: a,b contains subroutine print_a !DEC$ ATTRIBUTES DLLEXPORT :: print_a write(*,*) a%an_int end subroutine subroutine print_b !DEC$ ATTRIBUTES DLLEXPORT :: print_b write(*,*) b%an_int end subroutine end module usemod.f90 use testm a%an_int = 1 b%an_int = 2 call print_a call print_b endPGI® User’s Guide 88 Step 1: Create the DLL. % pgf90 -Mmakedll -o defmod.dll defmod.f90 Creating library defmod.lib and object defmod.exp Step 2: Create the exe and link against the import library for the imported DLL. % pgf90 -Bdynamic -o usemod usemod.f90 -defaultlib:defmod.lib Step 3: Run the exe to ensure that the module was imported from the DLL properly. % usemod 1 2 Using LIB3F The PGI Fortran compilers include complete support for the de facto standard LIB3F library routines on both Linux and Windows operating systems. See the PGI Fortran Reference manual for a complete list of available routines in the PGI implementation of LIB3F. LAPACK, BLAS and FFTs Pre-compiled versions of the public domain LAPACK and BLAS libraries are included with the PGI compilers. The LAPACK library is called liblapack.a or on Windows, liblapack.lib. The BLAS library is called libblas.a or on Windows, libblas.lib. These libraries are installed to $PGI//lib, where is replaced with the appropriate target name (linux86, linux86-64, osx86, osx86-64, win32, win64, sfu32, sua32, or sua64). To use these libraries, simply link them in using the –l option when linking your main program: % pgf95 myprog.f -llapack -lblas Highly optimized assembly-coded versions of BLAS and certain FFT routines may be available for your platform. In some cases, these are shipped with the PGI compilers. See the current release notes for the PGI compilers you are using to determine if these optimized libraries exist, where they can be downloaded (if necessary), and how to incorporate them into your installation as the default. The C++ Standard Template Library The PGC++ compiler includes a bundled copy of the STLPort Standard C++ Library. See the online Standard C++ Library tutorial and reference manual at www.stlport.com for further details and licensing.89 Chapter 8. Using Environment Variables Environment variables allow you to set and pass information that can alter the default behavior of the PGI compilers and the executables which they generate. This chapter includes explanations of the environment variables specific to PGI compilers. Other environment variables are referenced and documented in other sections of this User’s Guide or the PGI Tools Guide. • You use OpenMP environment variables to control the behavior of OpenMP programs. For consistency related to the OpenMP environment, the details of the OpenMP-related environment variables are included in Chapter 5, “Using OpenMP”. • You can use environment variables to control the behavior of the PGDBG debugger or PGPROF profiler. For a description of environment variables that affect these tools, refer to the PGI Tools Guide. Setting Environment Variables Before we look at the environment variables that you might use with the PGI compilers and tools, let’s take a look at how to set environment variables. To illustrate how to set these variables in various environments, lets look at how a user might initialize the shell environment prior to using the PGI compilers and tools. Setting Environment Variables on Linux Let’s assume that you want access to the PGI products when you log on. Let’s further assume that you installed the PGI compilers in /opt/pgi and that the license file is in /opt/pgi/license.dat. For access at startup, you can add the following lines to your startup file. In csh, use these commands: % setenv PGI /opt/pgi % setenv MANPATH "$MANPATH":$PGI/linux86/7.1/man % setenv LM_LICENSE_FILE $PGI/license.dat % set path = ($PGI/linux86/7.1/bin $path) In bash, sh or ksh, use these commands: % PGI=/opt/pgi; export PGI PGI® User’s Guide 90 % MANPATH=$MANPATH:$PGI/linux86/7.1/man; export MANPATH % LM_LICENSE_FILE=$PGI/license.dat; export LM_LICENSE_FILE % PATH=$PGI/linux86/7.1/bin:$PATH; export PATH Setting Environment Variables on Windows In Windows, when you access PGI Workstation 7.1 (Start | PGI Workstation 7.1), you have two options that PGI provides for setting your environment variables - either the DOS command environment or the Cygwin Bash environment. When you open either of these shells available to you, the default environment variables are already set and available to you. You may want to use other environment variables, such as the OpenMP ones. This section explains how to do that. Suppose that your home directory is C:tmp. The following examples show how you might set the temporary directory to your home directory, and then verify that it is set. Command prompt: From PGI Workstation 7.1, select PGI Workstation Tools | PGI Command Prompt (32-bit or 64-bit), and enter the following: DOS> set TMPDIR=C:tmp DOS> echo %TMPDIR% C:\tmp DOS> Cygwin Bash prompt: From PGI Workstation 7.1, select PGI Workstation (32-bit or 64-bit) and at the Cygwin Bash prompt, enter the following PGI$ export TMPDIR=C:\\tmp PGI$ echo $TMPDIR C:\tmp PGI$ Setting Environment Variables on Mac OSX Let’s assume that you want access to the PGi products when you log on. Let’s further assume that you installed the PGI compilers in /opt/pgi and that the license file is in /opt/pgi/license.dat. For access at startup, you can add the following lines to your startup file. For x64 osx86-64 in a csh: % set path = (/opt/pgi/osx86-64/7.0/bin $path) % setenv MANPATH "$MANPATH":/opt/pgi/osx86-64/7.0/man For x64 osx86-64 in a bash, zsh, or ksh: % PATH=/opt/pgi/osx86-64/7.0/bin:$PATH; export PATH % MANPATH=$MANPATH:/opt/pgi/osx86-64/7.0/man; export MANPATH For x64 osx86 in a csh: % set path = (/opt/pgi/osx86/7.0/bin $path)Chapter 8. Using Environment Variables 91 % setenv MANPATH "$MANPATH":/opt/pgi/osx86/7.0/man For x64 osx86 in a bash, zsh, or ksh: % PATH=/opt/pgi/osx86/7.0/bin:$PATH % export PATH % MANPATH=$MANPATH:/opt/pgi/osx86/7.0/man % export MANPATH PGI-Related Environment Variables For easy reference, the following summary table provides a quick listing of the OpenMP and PGI compilerrelated environment variables. Later in this chapter are more detailed descriptions of the environment variables specific to PGI compilers and the executables they generate. Table 8.1. PGI-related Environment Variable Summary Table Environment Variable Description FLEXLM_BATCH (Windows only) When set to 1, prevents interactive pop-ups from appearing by sending all licensing errors and warnings to standard out rather than to a pop-up window. FORTRAN_OPT Allows the user to specify that the PGI Fortran compilers user VAX I/ O conventions. GMON_OUT_PREFIX Specifies the name of the output file for programs tha are compiler and linked with the –pg option. LD_LIBRARY_PATH Specifies a colon-separated set of directories where libraries should first be searched, prior to searching the standard set of directories. LM_LICENSE_FILE Specifies the full path of the license file that is required for running the PGI software. On Windows, LM_LICENSE _FILE does not need to be set. MANPATH Sets the directories that are seacrhed for manual pages associated with the command that the user types. MPSTKZ Increases the size of the stacks used by threads executing in parallel regions. The value should be an integer concatenated with M or m to specify stack sizes of n megabytes. MP_BIND Specifies whether to bind processes or threads executing in a parallel region to a physical processor. MP_BLIST When MP_BIND is yes, this variable specifically defines the threadCPU relationship, overriding the default values. MP_SPIN Specifies the number of times to check a semaphore before calling sched_yield() (on Linux) or _sleep() (on Windows). MP_WARN Allows you to eliminate certain default warning messages. NCPUS Sets the number of processes or threads used in parallel regions. NCPUS_MAX Limits the maximum number of processors or threads that can be used in a parallel region.PGI® User’s Guide 92 Environment Variable Description NO_STOP_MESSAGE If used, the execution of a plain STOP statement does not produce the message FORTRAN STOP. OMP_DYNAMIC Currently has no effect. Enables (TRUE) or disables (FALSE) the dynamic adjustment of the number of threads. The default is FALSE. OMP_NESTED Currently has no effect. Enables (TRUE) or disables (FALSE) nested parallelism. The default is FALSE. OMP_NUM_THREADS Specifies the number of threads to use during execution of parallel regions. Default is 1. OMP_SCHEDULE Specifies the type of iteration scheduling and, optionally, the chunk size to use for omp for and omp parallel for loops that include the run-time schedule clause. The default is STATIC with chunk size = 1. OMP_STACK_SIZE Overrides the default stack size for a newly created thread. OMP_WAIT_POLICY Sets the behavior of idle threads, defining whether they spin or sleep when idle. The values are ACTIVE and PASSIVE. The default is ACTIVE. PATH Determines which locations are searched for commands the user may type. PGI Specifies, at compile-time, the root directory where the PGI compilers and tools are installed. PGI_CONTINUE If set, when a program compiled with–Mchkfpstk is executed, the stack is automatically cleaned up and execution then continues. PGI_OBJSUFFIX Allows you to control the suffix on generated object files. PGI_STACK_USAGE (Windows only) Allows you to explicitly set stack properties for your program. PGI_TERM Controls the stack traceback and just-in-time debugging functionality. PGI_TERM_DEBUG Overrides the default behavior when PGI_TERM is set to debug. PWD Allows you to display the current directory. STATIC_RANDOM_SEED Forces the seed returned by RANDOM_SEED to be constant. TMP Sets the directory to use for temporary files created during execution of the PGI compilers and tools; interchangeable with TMPDIR. TMPDIR Sets the directory to use for temporary files created during execution of the PGI compilers and tools. PGI Environment Variables You use the environment variables listed in Table 8.1, “PGI-related Environment Variable Summary Table” to alter the default behavior of the PGI compilers and the executables which they generate. This section provides more detailed descriptions about the variables in this table that are not OpenMP environment variables.Chapter 8. Using Environment Variables 93 FLEXLM_BATCH By default, on Windows the license server creates interactive pop-up messages to issue warning and errors. You can use the environment variable FLEXLM_BATCH to prevent interactive pop-up windows. To do this, set the environment variable FLEXLM_BATCH to 1. The following csh example prevents interactive pop-up messages for licensing warnings and errors: % set FLEXLM_BATCH = 1; FORTRAN_OPT FORTRAN_OPT allows the user to specify that the PGI Fortran compilers user VAX I/O conventions. • If FORTRAN_OPT exists and contains the value vaxio, the record length in the open statement is in units of 4-byte words, and the $ edit descriptor only has an effect for lines beginning with a space or a plus sign (+). • If this variable exists and contains the value format_relaxed, an I/O item corresponding to a numerical edit descriptor (such as F, E, I, and so on) is not required to be a type implied by the descriptor. The following example causes the PGI Fortran compilers to use VAX I/O conventions: $ setenv FORTRAN_OPT vaxio GMON_OUT_PREFIX GMON_OUT_PREFIX specifies the name of the output file for programs that are compiled and linked with the -pg option. The default name is gmon.out.a. If GMON_OUT_PREFIX is set, the name of the output file has GMON_OUT_PREFIX as a prefix. Further, the suffix is the pid of the running process. The prefix and suffix are separated by a dot. For example, if the output file is mygmon, then the full filename may look something similar to this: GMON_OUT_PREFIX.mygmon.0012348567. The following example causes the PGI Fortran compilers to use pgout as the output file for programs compiled and linked with the -pg option. $ setenv GMON_OUT_PREFIX pgout LD_LIBRARY_PATH The LD_LIBRARY_PATH variable is a colon-separated set of directories specifying where libraries should first be searched, prior to searching the standard set of directories. This variable is useful when debugging a new library or using a nonstandard library for special purposes. The following csh example adds the current directory to your LD_LIBRARY_PATH variable. % setenv LD_LIBRARY_PATH "$LD_LIBRARY_PATH":"./" LM_LICENSE_FILE The LM_LICENSE_FILE variable specifies the full path of the license file that is required for running the PGI software.PGI® User’s Guide 94 For example, once the license file is in place, you can execute the following csh commands to make the products you have purchased accessible and to initialize your environment for use of FLEXlm. These commands assume that you use the default installation directory: /opt/pgi % setenv PGI /opt/pgi % setenv LM_LICENSE_FILE "$LM_LICENSE_FILE":/opt/pgi/license.dat To set the environment variable LM_LICENSE_FILE to the full path of the license key file, do this: 1. Open the System Properties dialog: Start | Control Panel | System. 2. Select the Advanced tab. 3. Click the Environment Variables button. • If LM_LICENSE_FILE is not already an environment variable, create a new system variable for it. Set its value to the full path, including the name of the file, for the license key file, license.dat. • If LM_LICENSE_FILE already exists as an environment variable, append the path to the license file to the variable’s current value using a semi-colon to separate entrie • If LM_LICENSE_FILE is not already an environment variable, create a new system variable for it. Set its value to the full path, including the name of the file, for the license key file, license.dat. • If LM_LICENSE_FILE already exists as an environment variable, append the path to the license file to the variable’s current value using a semi-colon to separate entrie MANPATH The MANPATH variable sets the directories that are searched for manual pages associated with the commands that the user types. When using PGI products, it is important that you set your PATH to include the location of the PGI products and then set the MANPATH variable to include the man pages associated with the products. The following csh example targets x64 linux86-64 version of the compilers and tool s and allows the user access to the manual pages associated with them. % set path = (/opt/pgi/linux86-64/7.1/bin $path % setenv MANPATH "$MANPATH":/opt/pgi/linux86-64/7.1/man MPSTKZ MPSTKZ increases the size of the stacks used by threads executing in parallel regions. You typically use this variable with programs that utilize large amounts of thread-local storage in the form of private variables or local variables in functions or subroutines called within parallel regions. The value should be an integer concatenated with M or m to specify stack sizes of n megabytes. For example, the following setting specifies a stack size of 8 megabytes. $ setenv MPSTKZ 8M MP_BIND You can set MP_BIND to yes or y to bind processes or threads executing in a parallel region to physical processor. Set it to no or n to disable such binding. The default is to not bind processes to processors. ThisChapter 8. Using Environment Variables 95 variable is an execution-time environment variable interpreted by the PGI runtime-support libraries. It does not affect the behavior of the PGI compilers in any way. Note The MP_BIND environment variable is not supported on all platforms. $ setenv MP_BIND y MP_BLIST MP_BLIST allows you to specifically define the thread-CPU relationship. Note This variable is only in effect when MP_BIND is yes . While the MP_BIND variable binds processors or threads to a physical processor, MP_BLIST allows you to specifically define which thread is associated with which processor. The list defines the processor-thread relationship order, beginning with thread 0. This list overrides the default binding. For example, the following setting for MP_BLIST maps CPUs 3, 2, 1 and 0 to threads 0, 1, 2 and 3 respectively. $ setenv MP_BLIST=3,2,1,0 MP_SPIN When a thread executing in a parallel region enters a barrier, it spins on a semaphore. You can use MP_SPIN to specify the number of times it checks the semaphore before calling sched_yield() (on Linux) or _sleep() (on Windows). These calls cause the thread to be re-scheduled, allowing other processes to run. The default values are 100 (on Linux) and 10000 (on Windows). $ setenv MP_SPIN 200 MP_WARN MP_WARN allows you to eliminate certain default warning messages. By default, a warning is printed to stderr if you execute an OpenMP or auto-parallelized program with NCPUS or OMP_NUM_THREADS set to a value larger than the number of physical processors in the system. For example, if you produce a parallelized executable a.out and execute as follows on a system with only one processor: % setenv OMP_NUM_THREADS 2 % a.out Warning: OMP_NUM_THREADS or NCPUS (2) greater than available cpus (1) FORTRAN STOP Setting MP_WARN to NO eliminates these warning messages.PGI® User’s Guide 96 NCPUS You can use the NCPUS environment variable to set the number of processes or threads used in parallel regions. The default is to use only one process or thread, which is known as serial mode. Note OMP_NUM_THREADS has the same functionality as NCPUS. For historical reasons, PGi supports the environment variable NCPUS. If both OMP_NUM_THREADS and NCPUS are set, the value of OMP_NUM_THREADS takes precedence. Warning Setting NCPUS to a value larger than the number of physical processors or cores in your system can cause parallel programs to run very slowly. NCPUS_MAX You can use the NCPUS_MAX environment variable to limit the maximum number of processes or threads used in a parallel program. Attempts to dynamically set the number of processes or threads to a higher value, for example using set_omp_num_threads(), will cause the number of processes or threads to be set at the value of NCPUS_MAX rather than the value specified in the function call. NO_STOP_MESSAGE If the NO_STOP_MESSAGE variable exists, the execution of a plain STOP statement does not produce the message FORTRAN STOP. The default behavior of the PGI Fortran compilers is to issue this message. PATH The PATH variable sets the directories that are searched for commands that the user types. When using PGI products, it is important that you set your PATH to include the location of the PGI products. You can also use this variable to specify that you want to use only the linux86 version of the compilers and tools, or to target linux86 as the default. The following csh example targets x64 linux86-64 version of the compilers and tools. % set path = (/opt/pgi/linux86-64/7.1/bin $path) PGI The PGI environment variable specifies the root directory where the PGI compilers and tools are installed. This variable is recognized at compile-time. If it is not set, the default value depends on your system as well as which compilers are installed: • On Linux, the default value of this variable is /opt/pgi. • On Windows, the default value is C:\Program Files\PGI, where C represents the system drive. If both 32- and 64-bit compilers are installed, the 32-bit compilers are inC:\Program Files (x86)\ PGIChapter 8. Using Environment Variables 97 • For SFU/SUA compilers, the default value of this variable is /opt/pgi in the SFU/SUA file system. The corresponding Windows-style path is C:/SFU/opt/pgi for SFU and C:/WINDOWS/SUA/opt/pgi for SUA, where C represents the system drive. In most cases, if the PGI environment variable is not set, the PGI compilers and tools dynamically determine the location of this root directory based on the instance of the compiler or tool that was invoked. However, there are still some dependencies on the PGI environment variable, and it can be used as a convenience when initializing your environment for use of the PGI compilers and tools. For example, assuming you use csh and want the 64-bit linux86-64 versions of the PGI compilers and tools to be the default, you would use this syntax: % setenv PGI /usr/pgi % setenv MANPATH "$MANPATH":$PGI/linux86/6.0/man % setenv LM_LICENSE_FILE $PGI/license.dat % set path = ($PGI/linux86-64/6.0/bin $path) PGI_CONTINUE You set the PGI_CONTINUE variable to specify the actions to take before continuing with execution. For example, if the PGI_CONTINUE environment variable is set and a program compiled with –Mchkfpstk is executed, the stack is automatically cleaned up and execution then continues. If PGI_CONTINUE is set to verbose, the stack is automatically cleaned up, a warning message is printed, and then execution continues. Note There is a performance penalty associated with the stack cleanup. PGI_OBJSUFFIX You can set the PGI_OBJSUFFIX environment variable to generate object files that have a specific suffix. For example, if you set PGI_OBJSUFFIX to .o, the object files have a suffix of .o rather than .obj. PGI_STACK_USAGE (Windows only) The PGI_STACK_USAGE variable (for Windows only) allows you to explicitly set stack properties for your program. When the user compiles a program with the –Mchkstk option and sets the PGI_STACK_USAGE environment variable to any value, the program displays the stack space allocated and used after the program exits. You might see something similar to the following message: thread 0 stack: max 8180KB, used 48KB This message indicates that the program used 48KB of a 8180KB allocated stack. For more information on the –Mchkstk option, refer to –Mchkstk. PGI_TERM The PGI_TERM environment variable controls the stack traceback and just-in-time debugging functionality. The runtime libraries use the value of ‘ to determine what action to take when a program abnormally terminates.PGI® User’s Guide 98 The value of PGI_TERM is a comma-separated list of options. The commands for setting the environment variable follow. • In csh: % setenv PGI_TERM option[,option...] • In bash or sh: $ PGI_TERM=option[,option...] $ export PGI_TERM • In the Windows Command Prompt: C:\> set PGI_TERM=option[,option...] Table 8.2 lists the supported values for option. Following the table is a complete description of each option that indicates specifically how you might apply the option. By default, all of these options are disabled. Table 8.2. Supported PGI_TERM Values [no]debug Enables/disables just-in-time debugging (debugging invoked on error) [no]trace Enables/disables stack traceback on error [no]signal Enables/disables establishment of signal handlers for common signals that cause program termination [no]abort Enables/disables calling the system termination routine abort() [no]debug This enables/disables just-in-time debugging. The default is nodebug. When PGI_TERM is set to debug, the following command is invoked on error, unless you use PGI_TERM_DEBUG to override this default. pgdbg -text -attach is the process ID of the process being debugged. The PGI_TERM_DEBUG environment variable may be set to override the default setting. For more information, refer to “PGI_TERM_DEBUG,” on page 99. [no]trace This enables/disables the stack traceback. The default is notrace. [no]signal This enables/disables the establishing signal handlers for the most common signals that cause program termination. The default is nosignal. You can set trace and debug automatically enables signal. Specifically setting nosignal allows you to override this behavior.Chapter 8. Using Environment Variables 99 [no]abort This enables/disables calling the system termination routine abort(). The default is noabort. When noabort is in effect the process terminates by calling _exit(127). On Linux and SUA, when abort is in effect, the abort routine creates a core file and exits with code 127. On Windows, when abort is in effect, the abort routine exits with the status of the exception received. For example, if the program receives an access violation, abort() exits with status 0xC0000005. A few runtime errors just print an error message and call exit(127), regardless of the status of PGI_TERM. These are mainly errors such as specifying an invalid environment variable value where a traceback would not be useful. If it appears that abort() does not generate core files on a Linux system, be sure to unlimit the coredumpsize. You can do this in these ways: • Using csh: % limit coredumpsize unlimited % setenv PGI_TERM abort • Using bash or sh: $ ulimit -c unlimited $ export PGI_TERM=abort To debug a core file with pgdbg, start pgdbg with the -core option. For example, to view a core file named “core” for a program named “a.out”: $ pgdbg -core core a.out For more information on why to use this variable, refer to “Stack Traceback and JIT Debugging,” on page 101. PGI_TERM_DEBUG The PGI_TERM_DEBUG variable may be set to override the default behavior when PGI_TERM is set to debug. The value of PGI_TERM_DEBUG should be set to the command line used to invoke the program. For example: gdb --quiet --pid %d The first occurrence of %d in the PGI_TERM_DEBUG string will be replaced by the process id. The program named in the PGI_TERM_DEBUG string must be found on the currentPATH or specified with a full path name. PWD The PWD variable allows you to display the current directory. STATIC_RANDOM_SEED You can use STATIC_RANDOM_SEED to force the seed returned by the Fortran 90/95 RANDOM_SEED intrinsic to be constant. The first call to RANDOM_SEED without arguments resets the random seed to aPGI® User’s Guide 100 default value, then advances the seed by a variable amount based on time. Subsequent calls to RANDOM_SEED without arguments reset the random seed to the same initial value as the first call. Unless the time is exactly the same, each time a program is run a different random number sequence is generated. Setting the environment variable STATIC_RANDOM_SEED to YES forces the seed returned by RANDOM_SEED to be constant, thereby generating the same sequence of random numbers at each execution of the program. TMP You can use TMP to specify the directory to use for placement of any temporary files created during execution of the PGI compilers and tools. This variable is interchangeable with TMPDIR. TMPDIR You can use TMPDIR to specify the directory to use for placement of any temporary files created during execution of the PGI compilers and tools. Using Environment Modules On Linux, if you use the Environment Modules package, that is, the module load command, PGI 7.1 includes a script to set up the appropriate module files. Assuming your installation base directory is /opt/pgi, and your MODULEPATH environment variable is / usr/local/Modules/modulefiles, execute this command: % /opt/pgi/linux86/7.1-1/etc/modulefiles/pgi.module.install \ -all -install /usr/local/Modules/modulefiles This command creates module files for all installed versions of the PGI compilers. You must have write permission to the modulefiles directory to enable the module commands: % module load pgi32/7.1 % module load pgi64/7.1 % module load pgi/7.1 where "pgi/7.1" uses the 32-bit compilers on a 32-bit system and uses 64-bit compilers on a 64-bit system. To see what versions are available, use this command: % module avail pgi The module load command sets or modifies the environment variables as indicated in the following table. This Environment Variable... Is set or modified to ... CC Full path to pgcc V Path to pgCC V Full path to pgCC CXX Path to pgCC FC Full path to pgf95 F77 Full path to pgf77Chapter 8. Using Environment Variables 101 This Environment Variable... Is set or modified to ... F90 Full path to pgf95 LD_LIBRARY_PATH Prepends the PGI library directory MANPATH Prepends the PGI man page directory PATH Prepends the PGI compiler and tools bin directory PGI The base installation directory PGI does not provide support for the Environment Modules package. For more information about the package, go to: modules.sourceforge.net. Stack Traceback and JIT Debugging When a programming error results in a run-time error message or an application exception, a program will usually exit, perhaps with an error message. The PGI run-time library includes a mechanism to override this default action and instead print a stack traceback, start a debugger, or (on Linux) create a core file for postmortem debugging. The stack traceback and just-in-time debugging functionality is controlled by an environment variable, PGI_TERM. The run-time libraries use the value of PGI_TERM to determine what action to take when a program abnormally terminates. When the PGI runtime library detects an error or catches a signal, it calls the routine pgi_stop_here() prior to generating a stack traceback or starting the debugger. The pgi_stop_here routine is a convenient spot to set a breakpoint when debugging a program. For more information on PGI_Term and the supported values, refer to “PGI_TERM,” on page 97.102103 Chapter 9. Distributing Files - Deployment Once you have successfully built, debugged and tuned your application, you may want to distribute it to users who need to run it on a variety of systems. This chapter addresses how to effectively distribute applications built using PGI compilers and tools. The application must be installed in such a way that the it executes accurately on a system other than the one on which it was built, and which may be configured differently. Deploying Applications on Linux To successfully deploy your application on Linux, there are a number of issues to consider, including these: • Runtime Libraries • 64-bit Linux Systems • Redistribution of Files • Linux Portability of files and packages • Licensing Runtime Library Considerations On Linux systems, the system runtime libraries can be linked to an application either statically, or dynamically, For example, for the C runtime library, libc, you can use either the static version libc.a or the shared object libc.so. If the application is intended to run on Linux systems other than the one on which it was built, it is generally safer to use the shared object version of the library. This approach ensures that the application uses a version of the library that is compatible with the system on which the application is running. Further, it works best when the application is linked on a system that has an equivalent or earlier version of the system software than the system on which the application will be run. Note Building on a newer system and running the application on an older system may not produce the desired output.PGI® User’s Guide 104 To use the shared object version of a library, the application must also link to shared object versions of the PGI runtime libraries. To execute an application built in such a way on a system on which PGI compilers are not installed, those shared objects must be available.To build using the shared object versions of the runtime libraries, use the -Bdynamic option, as shown here: $ pgf90 -Bdynamic myprog.f90 64-bit Linux Considerations On 64-bit Linux systems, 64-bit applications that use the -mcmodel=medium option sometimes cannot be successfully linked statically. Therefore, users with executables built with the -mcmodel=medium option may need to use shared libraries, linking dynamically. Also, runtime libraries built using the -fpic option use 32-bit offsets, so they sometimes need to reside near other runtime libs in a shared area of Linux program memory. Note If your application is linked dynamically using shared objects, then the shared object versions of the PGI runtime are required. Linux Redistributable Files There are two methods for installing the shared object versions of the runtime libraries required for applications built with PGI compilers and tools: Linux Portability Package and manual distribution. PGI provides the Linux Portability Package, an installation package that can be downloaded from the PGI web site. In addition, when the PGI compilers are installed, there is a directory named REDIST for each platform (linux86 and linux86-64) that contains the redistributed shared object libraries. These may be redistributed by licensed PGI customers under the terms of the PGI End-User License Agreement. Restrictions on Linux Portability You cannot expect to be able to run an executable on any given Linux machine. Portability depends on the system you build on as well as how much your program uses system routines that may have changed from Linux release to Linux release. For example, one area of significant change between some versions of Linux is in libpthread.so. PGI compilers use this shared object for the options -Mconcur (auto-parallel) and - mp (OpenMP) programs. Typically, portability is supported for forward execution, meaning running a program on the same or a later version of Linux; but not for backward compatibility, that is, running on a prior release. For example, a user who compiles and links a program under Suse 9.1 should not expect the program to run without incident on a Red Hat 8.0 system, which is an earlier version of Linux. It may run, but it is less likely. Developers might consider building applications on earlier Linux versions for wider usage. Installing the Linux Portability Package You can download the Linux Portability Packages from the Downloads page at http://www.pgroup.com. First download the package you need, then untar it, and run the install script. Then you can add the installation directory to your library path.Chapter 9. Distributing Files - Deployment 105 To use the installed libraries, you can either modify /etc/ld.so.conf and run ldconfig(1) or modify the environment variable LD_LIBRARY_PATH, as shown here: setenv LD_LIBRARY_PATH /usr/local/pgi or export LD_LIBRARY_PATH=/usr/local/pgi Licensing for Redistributable Files The installation of the Linux Portability Package presents the standard PGI usage license. The libs can be distributed for use with PGI compiled applications, within the provisions of that license. The files in the REDIST directories may be redistributed under the terms of the End-User License Agreement for the product in which they were included. Deploying Applications on Windows Windows programs may be linked statically or dynamically. • A statically linked program is completely self-contained, created by linking to static versions of the PGI and Microsoft runtime libraries. • A dynamically linked program depends on separate dynamically-linked libraries (DLLs) that must be installed on a system for the application to run on that system. Although it may be simpler to install a statically linked executable, there are advantages to using the DLL versions of the runtime, including these: • Executable binary file size is smaller. • Multiple processes can use DLLs at once, saving system resources. • New versions of the runtime can be installed and used by the application without rebuilding the application. Dynamically-linked Windows programs built with PGI compilers depend on dynamic run-time library files (DLLs). These DLLs must be distributed with such programs to enable them to execute on systems where the PGI compilers are not installed. These redistributable libraries include both PGI runtime libraries and Microsoft runtime libraries. PGI Redistributables PGI Redistributable directories contain all of the PGI Linux runtime library shared object files or Windows dynamically- linked libraries that can be re-distributed by PGI 7.1 licensees under the terms of the PGI Enduser License Agreement (EULA). Microsoft Redistributables The PGI products on Windows include Microsoft Open Tools. The Microsoft Open Tools directory contains a subdirectory named redist. PGI licensees may redistribute the files contained in this directory in accordance with the terms of the PGI End-User License Agreement.PGI® User’s Guide 106 Microsoft supplies installation packages, vcredist_x86.exe and vcredist_x64.exe, containing these runtime files. You can download these packages from www.microsoft.com. Code Generation and Processor Architecture The PGI compilers can generate much more efficient code if they know the specific x86 processor architecture on which the program will run. When preparing to deploy your application, you should determine whether you want the application to run on the widest possible set of x86 processors, or if you want to restrict the application to run on a specific processor or set of processors. The restricted approach allows you to optimize performance for that set of processors. Different processors have differences, some subtle, in hardware features, such as instruction sets and cache size. The compilers make architecture-specific decisions about such things as instruction selection, instruction scheduling, and vectorization, all of which can have a profound effect on the performance of your application. Processor- specific code generation is controlled by the -tp option, described in “–tp [,target...] ,” on page 202. When an application is compiled without any -tp options, the compiler generates code for the type of processor on which the compiler is run. Generating Generic x86 Code To generate generic x86 code, use one of the following forms of the-tp option on your command line: -tp px ! generate code for any x86 cpu type -tp p6 ! generate code for Pentium 2 or greater While both of these examples are good choices for portable execution, most users have Pentium 2 or greater CPUs. Generating Code for a Specific Processor You can use the -tp option to request that the compiler generate code optimized for a specific processor. The PGI Release Notes contains a list of supported processors or you can look at the -tp entry in the compiler output generated by using the -help option, described in “–help ,” on page 178. Generating Code for Multiple Types of Processors in One Executable PGI unified binaries provide a low-overhead method for a single program to run well on a number of hardware platforms. All 64-bit PGI compilers can produce PGI Unified Binary programs that contain code streams fully optimized and supported for both AMD64 and Intel EM64T processors using the -tp target option. The compilers generate and combine multiple binary code streams into one executable, where each stream is optimized for a specific platform. At runtime, this one executable senses the environment and dynamically selects the appropriate code stream. Different processors have differences, some subtle, in hardware features, such as instruction sets and cache size. The compilers make architecture-specific decisions about such things as instruction selection, instructionChapter 9. Distributing Files - Deployment 107 scheduling, and vectorization. PGI unified binaries provide a low-overhead means for a single program to run well on a number of hardware platforms. Executable size is automatically controlled via unified binary culling. Only those functions and subroutines where the target affects the generated code will have unique binary images, resulting in a code-size savings of 10-90% compared to generating full copies of code for each target. Programs can use PGI Unified Binary even if all of the object files and libraries are not compiled as unified binaries. Like any other object file, you can use PGI Unified Binary object files to create programs or libraries. No special start up code is needed; support is linked in from the PGI libraries. The -Mpfi option disables generation of PGI Unified Binary. Instead, the default target auto-detect rules for the host are used to select the target processor. Unified Binary Command-line Switches The PGI Unified Binary command-line switch is an extension of the target processor switch, -tp, which may be applied to individual files during compilation . The target processor switch, -tp, accepts a comma-separated list of 64-bit targets and generates code optimized for each listed target. The following example generates optimized code for three targets: -tp k8-64,p7-64,core2-64 A special target switch, -tp x64, is the same as -tp k8-64, p7-64s. Unified Binary Directives and Pragma Unified binary directives and pragmas may be applied to functions, subroutines, or whole files. The directives and pragmas cause the compiler to generate PGI Unified Binary code optimized for one or more targets. No special command line options are needed for these pragmas and directives to take effect. The syntax of the Fortran directive is this: pgi$[g|r| ] pgi tp [target]... where the scope is g (global), r (routine) or blank. The default is r, routine. For example, the following syntax indicates that the whole file, represented by g, should be optimized for both k8_64 and p7_64. pgi$g pgi tp k8_64 p7_64 The syntax of the C/C++ pragma is this: #pragma [global|routine|] tp [target]... where the scope is global, routine, or blank. The default is routine. For example, the following syntax indicates that the next function should be optimized for k8_64, p7_64, and core2_64. #pragma routine tp k8_64 p7_64 core2_64108109 Chapter 10. Inter-language Calling This chapter describes inter-language calling conventions for C, C++, and Fortran programs using the PGI compilers. The following sections describe how to call a Fortran function or subroutine from a C or C++ program and how to call a C or C++ function from a Fortran program. For information on calling assembly language programs, refer to Chapter 18, “Run-time Environment”. This chapter provides examples that use the following options related to inter-language calling. For more information on these options, refer to Chapter 15, “Command-Line Options Reference,” on page 163. -c -Mnomain Overview of Calling Conventions This chapter includes information on the following topics: • Functions and subroutines in Fortran, C, and C++ • Naming and case conversion conventions • Compatible data types • Argument passing and special return values • Arrays and indexes • Win32 calling conventions The sections “Inter-language Calling Considerations,” on page 110 through“Example - C++ Calling Fortran,” on page 119 describe how to perform inter-language calling using the Linux/Win64/SUA convention. Default Fortran calling conventions for Win32 differ, although Win32 programs compiled using the -Munix Fortran command-line option use the Linux/Win64 convention rather than the default Win32 conventions. All information in those sections pertaining to compatibility of arguments applies to Win32 as well. For details on the symbol name and argument passing conventions used on Win32 platforms, refer to “Win32 Calling Conventions,” on page 120.PGI® User’s Guide 110 Inter-language Calling Considerations In general, when argument data types and function return values agree, you can call a C or C++ function from Fortran as well as call a Fortran function from C or C++. When data types for arguments do not agree, you may need to develop custom mechanisms to handle them. For example, the Fortran COMPLEX type has a matching type in C99 but does not have a matching type in C90; however, it is still possible to provide inter-language calls but there are no general calling conventions for such cases. Note • If a C++ function contains objects with constructors and destructors, calling such a function from either C or Fortran is not possible unless the initialization in the main program is performed from a C++ program in which constructors and destructors are properly initialized. • In general, you can call a C or Fortran function from C++ without problems as long as you use the extern "C" keyword to declare the function in the C++ program. This declaration prevents name mangling for the C function name. If you want to call a C++ function from C or Fortran, you also have to use the extern "C" keyword to declare the C++ function. This keeps the C++ compiler from mangling the name of the function. • You can use the __cplusplus macro to allow a program or header file to work for both C and C++. For example, the following defines in the header file stdio.h allow this file to work for both C and C++. #ifndef _STDIO_H #define _STDIO_H #ifdef __cplusplus extern "C" { #endif /* __cplusplus */ . . /* Functions and data types defined... */ . #ifdef __cplusplus } #endif /* __cplusplus */ #endif • C++ member functions cannot be declared extern, since their names will always be mangled. Therefore, C++ member functions cannot be called from C or Fortran. Functions and Subroutines Fortran, C, and C++ define functions and subroutines differently. For a Fortran program calling a C or C++ function, observe the following return value convention: • When a C or C++ function returns a value, call it from Fortran as a function. • When a C or C++ function does not return a value, call it as a subroutine. For a C/C++ program calling a Fortran function, the call should return a similar type. Table 10.1, “Fortran and C/C++ Data Type Compatibility,” on page 111 lists compatible types. If the call is to a Fortran subroutine,Chapter 10. Inter-language Calling 111 a Fortran CHARACTER function, or a Fortran COMPLEX function, call it from C/C++ as a function that returns void. The exception to this convention is when a Fortran subroutine has alternate returns; call such a subroutine from C/C++ as a function returning int whose value is the value of the integer expression specified in the alternate RETURN statement. Upper and Lower Case Conventions, Underscores By default on Linux, Win64, OSX, and SUA systems, all Fortran symbol names are converted to lower case. C and C++ are case sensitive, so upper-case function names stay upper-case. When you use inter-language calling, you can either name your C/C++ functions with lower-case names, or invoke the Fortran compiler command with the option –Mupcase, in which case it will not convert symbol names to lower-case. When programs are compiled using one of the PGI Fortran compilers on Linux, Win64, OSX, and SUA systems, an underscore is appended to Fortran global names (names of functions, subroutines and common blocks). This mechanism distinguishes Fortran name space from C/C++ name space. Use these naming conventions: • If you call a C/C++ function from Fortran, you should rename the C/C++ function by appending an underscore or use C$PRAGMA C in the Fortran program. For more information on C$PRAGMA C, refer to “C$PRAGMA C,” on page 72. • If you call a Fortran function from C/C++, you should append an underscore to the Fortran function name in the calling program. Compatible Data Types Table 10.1 shows compatible data types between Fortran and C/C++. Table 10.2, “Fortran and C/C++ Representation of the COMPLEX Type,” on page 112 shows how the Fortran COMPLEX type may be represented in C/C++. If you can make your function/subroutine parameters as well as your return values match types, you should be able to use inter-language calling. Table 10.1. Fortran and C/C++ Data Type Compatibility Fortran Type (lower case) C/C++ Type Size (bytes) character x char x 1 character*n x char x[n] n real x float x 4 real*4 x float x 4 real*8 x double x 8 double precision double x 8 integer x int x 4 integer*1 x signed char x 1 integer*2 x short x 2 integer*4 x int x 4 integer*8 x long long x 8PGI® User’s Guide 112 Fortran Type (lower case) C/C++ Type Size (bytes) logical x int x 4 logical*1 x char x 1 logical*2 x short x 2 logical*4 int x 4 logical*8 long long x 8 Table 10.2. Fortran and C/C++ Representation of the COMPLEX Type Fortran Type (lower case) C/C++ Type Size (bytes) complex x struct {float r,i;} x; 8 float complex x; complex*8 x struct {float r,i;} x; 8 float complex x; 8 double complex x struct {double dr,di;} x; 16 double complex x; 16 complex *16 x struct {double dr,di;} x; 16 double complex x; 16 Note For C/C++, the complex type implies C99 or later. Fortran Named Common Blocks A named Fortran common block can be represented in C/C++ by a structure whose members correspond to the members of the common block. The name of the structure in C/C++ must have the added underscore. For example, the Fortran common block: INTEGER I COMPLEX C DOUBLE COMPLEX CD DOUBLE PRECISION D COMMON /COM/ i, c, cd, d is represented in C with the following equivalent: extern struct { int i; struct {float real, imag;} c; struct {double real, imag;} cd; double d; } com_; and in C++ with the following equivalent:Chapter 10. Inter-language Calling 113 extern "C" struct { int i; struct {float real, imag;} c; struct {double real, imag;} cd; double d; } com_; Tip For global or external data sharing, extern “C” is not required. Argument Passing and Return Values In Fortran, arguments are passed by reference, that is, the address of the argument is passed, rather than the argument itself. In C/C++, arguments are passed by value, except for strings and arrays, which are passed by reference. Due to the flexibility provided in C/C++, you can work around these differences. Solving the parameter passing differences generally involves intelligent use of the & and * operators in argument passing when C/C++ calls Fortran and in argument declarations when Fortran calls C/C++. For strings declared in Fortran as type CHARACTER, an argument representing the length of the string is also passed to a calling function. On Linux systems, or when using the UNIX calling convention on Windows (-Munix), the compiler places the length argument(s) at the end of the parameter list, following the other formal arguments. The length argument is passed by value, not by reference. Passing by Value (%VAL) When passing parameters from a Fortran subprogram to a C/C++ function, it is possible to pass by value using the %VAL function. If you enclose a Fortran parameter with %VAL(), the parameter is passed by value. For example, the following call passes the integer i and the logical bvar by value. integer*1 i logical*1 bvar call cvalue (%VAL(i), %VAL(bvar)) Character Return Values “Functions and Subroutines,” on page 110 describes the general rules for return values for C/C++ and Fortran inter-language calling. There is a special return value to consider. When a Fortran function returns a character, two arguments need to be added at the beginning of the C/C++ calling function’s argument list: • The address of the return character or characters • The length of the return character Example 10.1, “Character Return Parameters” illustrates the extra parameters, tmp and 10, supplied by the caller:PGI® User’s Guide 114 Example 10.1. Character Return Parameters ! Fortran function returns a character CHARACTER*(*) FUNCTION CHF( C1,I) CHARACTER*(*) C1 INTEGER I END /* C declaration of Fortran function */ extern void chf_(); char tmp[10]; char c1[9]; int i; chf_(tmp, 10, c1, &i, 9); If the Fortran function is declared to return a character value of constant length, for example CHARACTER*4 FUNCTION CHF(), the second extra parameter representing the length must still be supplied, but is not used. NOTE The value of the character function is not automatically NULL-terminated. Complex Return Values When a Fortran function returns a complex value, an argument needs to be added at the beginning of the C/ C++ calling function’s argument list; this argument is the address of the complex return value. Example 10.2, “COMPLEX Return Values” illustrates the extra parameter, cplx, supplied by the caller. Example 10.2. COMPLEX Return Values COMPLEX FUNCTION CF(C, I) INTEGER I . . . END extern void cf_(); typedef struct {float real, imag;} cplx; cplx c1; int i; cf_(&c1, &i); Array Indices C/C++ arrays and Fortran arrays use different default initial array index values. By default, C/C++ arrays start at 0 and Fortran arrays start at 1. If you adjust your array comparisons so that a Fortran second element is compared to a C/C++ first element, and adjust similarly for other elements, you should not have problems working with this difference. If this is not satisfactory, you can declare your Fortran arrays to start at zero. Another difference between Fortran and C/C++ arrays is the storage method used. Fortran uses columnmajor order and C/C++ use row-major order. For one-dimensional arrays, this poses no problems. For twodimensional arrays, where there are an equal number of rows and columns, row and column indexes can simply be reversed. For arrays other than single dimensional arrays, and square two-dimensional arrays, interlanguage function mixing is not recommended.Chapter 10. Inter-language Calling 115 Examples This section contains examples that illustrate inter-language calling. Example - Fortran Calling C Example 10.4, “C function cfunc_” shows a C function that is called by the Fortran main program shown in Example 10.3, “Fortran Main Program fmain.f”. Notice that each argument is defined as a pointer, since Fortran passes by reference. Also notice that the C function name uses all lower-case and a trailing "_". Example 10.3. Fortran Main Program fmain.f logical*1 bool1 character letter1 integer*4 numint1, numint2 real numfloat1 double precision numdoub1 integer*2 numshor1 external cfunc call cfunc (bool1, letter1, numint1, numint2, + numfloat1, numdoub1, numshor1) write( *, "(L2, A2, I5, I5, F6.1, F6.1, I5)") + bool1, letter1, numint1, numint2, numfloat1, + numdoub1, numshor1 end Example 10.4. C function cfunc_ #define TRUE 0xff #define FALSE 0 void cfunc_( bool1, letter1, numint1, numint2, numfloat1,\ numdoub1, numshor1, len_letter1) char *bool1, *letter1; int *numint1, *numint2; float *numfloat1; double *numdoub1; short *numshor1; int len_letter1; { *bool1 = TRUE; *letter1 = 'v'; *numint1 = 11; *numint2 = -44; *numfloat1 = 39.6 ; *numdoub1 = 39.2; *numshor1 = 981; } Compile and execute the program fmain.f with the call to cfunc_ using the following command lines: $ pgcc -c cfunc.c $ pgf95 cfunc.o fmain.f Executing the a.out file should produce the following output: T v 11 -44 39.6 39.2 981 Example - C Calling Fortran Example 10.6, “C Main Program cmain.c” shows a C main program that calls the Fortran subroutine shown in Example 10.5, “Fortran Subroutine forts.f”. Notice that each call uses the & operator to pass by reference. Also notice that the call to the Fortran subroutine uses all lower-case and a trailing "_".PGI® User’s Guide 116 Example 10.5. Fortran Subroutine forts.f subroutine forts ( bool1, letter1, numint1 + numint2, numfloat1, numdoub1, numshor1) logical*1 bool1 character letter1 integer numint1, numint2 double precision numdoub1 real numfloat1 integer*2 numshor1 bool1 = .true. letter1 = "v" numint1 = 11 numint2 = -44 numdoub1 = 902 numfloat1 = 39.6 numshor1 = 299 return end Example 10.6. C Main Program cmain.c main () { char bool1, letter1; int numint1, numint2; float numfloat1; double numdoub1; short numshor1; extern void forts_ (); forts_(&bool1,&letter1,&numint1,&numint2,&numfloat1,&numdoub1,&numshor1, 1); printf(" %s %c %d %d %3.1f %.0f %d\n", bool1?"TRUE":"FALSE",letter1,numint1, numint2, numfloat1, numdoub1, numshor1); } To compile this Fortran subroutine and C program, use the following commands: $ pgcc -c cmain.f $ pgf95 -Mnomain cmain.o forts.f Executing the resulting a.out file should produce the following output: TRUE v 11 -44 39.6 902 299 Example - C ++ Calling C Example 10.8, “C++ Main Program cpmain.C Calling a C Function” shows a C++ main program that calls the C function shown in Example 10.7, “Simple C Function cfunc.c”. Example 10.7. Simple C Function cfunc.c void cfunc(num1, num2, res) int num1, num2, *res; { printf("func: a = %d b = %d ptr c = %x\n",num1,num2,res); *res=num1/num2; printf("func: res = %d\n",*res); }Chapter 10. Inter-language Calling 117 Example 10.8. C++ Main Program cpmain.C Calling a C Function xtern "C" void cfunc(int n, int m, int *p); #include main() { int a,b,c; a=8; b=2; cout << "main: a = "< extern "C" { extern void forts_(char *,char *,int *,int *, float *,double *,short *); } main () { char bool1, letter1; int numint1, numint2; float numfloat1; double numdoub1; short numshor1; forts_(&bool1,&letter1,&numint1,&numint2,&numfloat1, &numdoub1,&numshor1); cout << " bool1 = "; bool1?cout << "TRUE ":cout << "FALSE "; cout < 2GB in size. Note that if you execute with the above settings in your environment, you may see the following: % bigadd Segmentation fault Execution fails because the stack size is not large enough. Try resetting the stack size in your environment: % limit stacksize 3000M PGI® User’s Guide 130 Note that ‘limit stacksize unlimited’ will probably not provide as large a stack as we are using above. % bigadd a[0]=1 b[0]=2 c[0]=3 n=599990000 a[599990000]=5.9999e+08 b[599990000]=1.19998e+09 c[599990000]=1.79997e+09 The size of the bss section of the bigadd executable is now larger than 2GB: % size –-format=sysv bigadd | grep bss .bss 4800000008 5245696 % size -–format=sysv bigadd | grep Total Total 4800005080 Example: Medium Memory Model and Large Array in Fortran The following example works with both the PGF95 and PGF77 compilers included in Release 7.0. Both compilers use 64-bit addresses and index arithmetic when the –mcmodel=medium option is used. Consider the following example: % cat mat.f program mat integer i, j, k, size, l, m, n parameter (size=16000) ! >2GB parameter (m=size,n=size) real*8 a(m,n),b(m,n),c(m,n),d do i = 1, m do j = 1, n a(i,j)=10000.0D0*dble(i)+dble(j) b(i,j)=20000.0D0*dble(i)+dble(j) enddo enddo !$omp parallel !$omp do do i = 1, m do j = 1, n c(i,j) = a(i,j) + b(i,j) enddo enddo !$omp do do i=1,m do j = 1, n d = 30000.0D0*dble(i)+dble(j)+dble(j) if(d .ne. c(i,j)) then print *,”err i=”,i,”j=”,j print *,”c(i,j)=”,c(i,j) print *,”d=”,d stop endif enddo enddo !$omp end parallel print *, “M =”,M,”, N =”,N print *, “c(M,N) = “, c(m,n) end When compiled with the PGF95 compiler using –mcmodel=medium: % pgf95 –mp –o mat mat.f –i8 –mcmodel=mediumChapter 11. Programming Considerations for 64-Bit Environments 131 % setenv OMP_NUM_THREADS 2 % mat M = 16000 , N = 16000 c(M,N) = 480032000.0000000 Example: Large Array and Small Memory Model in Fortran The following example uses large, dynamically-allocated arrays. The code is divided into a main and subroutine so you could put the subroutine into a shared library. Dynamic allocation of large arrays saves space in the size of executable and saves time initializing data. Further, the routines can be compiled with 32- bit compilers, by just decreasing the parameter size below. % cat mat_allo.f90 program mat_allo integer i, j integer size, m, n parameter (size=16000) parameter (m=size,n=size) double precision, allocatable::a(:,:),b(:,:),c(:,:) allocate(a(m,n), b(m,n), c(m,n)) do i = 100, m, 1 do j = 100, n, 1 a(i,j) = 10000.0D0 * dble(i) + dble(j) b(i,j) = 20000.0D0 * dble(i) + dble(j) enddo enddo call mat_add(a,b,c,m,n) print *, “M =”,m,”,N =”,n print *, “c(M,N) = “, c(m,n) end subroutine mat_add(a,b,c,m,n) integer m, n, i, j double precision a(m,n),b(m,n),c(m,n) !$omp do do i = 1, m do j = 1, n c(i,j) = a(i,j) + b(i,j) enddo enddo return end % pgf95 –o mat_allo mat_allo.f90 –i8 –Mlarge_arrays -mp -fast132133 Chapter 12. C/C++ Inline Assembly and Intrinsics Inline Assembly Inline Assembly lets you specify machine instructions inside a "C" function. The format for an inline assembly instruction is this: { asm | __asm__ } ("string"); The asm statement begins with the asm or __asm__ keyword. The __asm__ keyword is typically used in header files that may be included in ISO "C" programs. "string" is one or more machine specific instructions separated with a semi-colon (;) or newline (\n) character. These instructions are inserted directly into the compiler's assembly-language output for the enclosing function. Some simple asm statements are: asm ("cli"); asm ("sti"); The asm statements above disable and enable system interrupts respectively. In the following example, the eax register is set to zero. asm( "pushl %eax\n\t" "movl $0, %eax\n\t" "popl %eax"); Notice that eax is pushed on the stack so that it is it not clobbered. When the statement is done with eax, it is restored with the popl instruction. Typically a program uses macros that enclose asm statements. The interrupt constructs shown above are used in the following two examples: #define disableInt __asm__ ("cli"); #define enableInt __asm__ ("sti");PGI® User’s Guide 134 Extended Inline Assembly “Inline Assembly,” on page 133 explains how to use inline assembly to specify machine specific instructions inside a "C" function. This approach works well for simple machine operations such as disabling and enabling system interrupts. However, inline assembly has three distinct limitations: 1. The programmer must choose the registers required by the inline assembly. 2. To prevent register clobbering, the inline assembly must include push and pop code for registers that get modified by the inline assembly. 3. There is no easy way to access stack variables in an inline assembly statement. Extended Inline Assembly was created to address these limitations. The format for extended inline assembly, also known as extended asm, is as follows: { asm | __asm__ } [ volatile | __volatile__ ] ("string" [: [output operands]] [: [input operands]] [: [clobberlist]]); • Extended asm statements begin with the asm or __asm__ keyword. Typically the __asm__ keyword is used in header files that may be included by ISO "C" programs. • An optional volatile or __volatile__ keyword may appear after the asm keyword. This keyword instructs the compiler not to delete, move significantly, or combine with any other asm statement. Like __asm__, the __volatile__ keyword is typically used with header files that may be included by ISO "C" programs. • "string" is one or more machine specific instructions separated with a semi-colon (;) or newline (\n) character. The string can also contain operands specified in the [output operands], [input operands], and [clobber list]. The instructions are inserted directly into the compiler's assembly-language output for the enclosing function. • The [output operands], [input operands], and [clobber list] items each describe the effect of the instruction for the compiler. For example: asm( "movl %1, %%eax\n" "movl %%eax, %0":"=r" (x) : "r" (y) : "%eax" ); where "=r" (x) is an output operand "r" (y) is an input operand. "%eax" is the clobber list consisting of one register, "%eax". The notation for the output and input operands is a constraint string surrounded by quotes, followed by an expression, and surrounded by parentheses. The constraint string describes how the input and output operands are used in the asm "string". For example, "r" tells the compiler that the operand is a register. The "=" tells the compiler that the operand is write only, which means that a value is stored in an output operand's expression at the end of the asm statement. Each operand is referenced in the asm "string" by a percent "%" and its number. The first operand is number 0, the second is number 1, the third is number 2, and so on. In the preceding example, "%0" references the output operand, and "%1" references the input operand. The asm "string" also contains "%%eax", which references machine register "%eax". Hard coded registers like "%eax" should be specified in the clobber list to prevent conflicts with other instructions in the compiler's assembly-language output.Chapter 12. C/C++ Inline Assembly and Intrinsics 135 [output operands], [input operands], and [clobber list] items are described in more detail in the following sections. Output Operands The [output operands] are an optional list of output constraint and expression pairs that specify the result(s) of the asm statement. An output constraint is a string that specifies how a result is delivered to the expression. For example, "=r" (x) says the output operand is a write-only register that stores its value in the "C" variable x at the end of the asm statement. An example follows: int x; void example() { asm( "movl $0, %0" : "=r" (x) ); } The previous example assigns 0 to the "C" variable x. For the function in this example, the compiler produces the following assembly. If you want to produce an assembly listing, compile the example with the pgcc -S compiler option: example: ..Dcfb0: pushq %rbp ..Dcfi0: movq %rsp, %rbp ..Dcfi1: ..EN1: ## lineno: 8 movl $0, %eax movl %eax, x(%rip) ## lineno: 0 popq %rbp ret In the generated assembly shown, notice that the compiler generated two statements for the asm statement at line number 5. The compiler generated "movl $0, %eax" from the asm "string". Also notice that %eax appears in place of "%0" because the compiler assigned the %eax register to variable x. Since item 0 is an output operand, the result must be stored in its expression (x). The instruction movl %eax, x(%rip) assigns the output operand to variable x. In addition to write-only output operands, there are read/write output operands designated with a "+" instead of a "=". For example, "+r" (x) tells the compiler to initialize the output operand with variable x at the beginning of the asm statement. To illustrate this point, the following example increments variable x by 1: int x=1; void example2() { asm( "addl $1, %0" : "+r" (x) ); } To perform the increment, the output operand must be initialized with variable x. The read/write constraint modifier ("+") instructs the compiler to initialize the output operand with its expression. The compiler generates the following assembly code for the example2() function:PGI® User’s Guide 136 example2: ..Dcfb0: pushq %rbp ..Dcfi0: movq %rsp, %rbp ..Dcfi1: ..EN1: ## lineno: 5 movl x(%rip), %eax addl $1, %eax movl %eax, x(%rip) ## lineno: 0 popq %rbp ret From the example(2) code, two extraneous moves are generated in the assembly: one movl for initializing the output register and a second movl to write it to variable x. To eliminate these moves, use a memory constraint type instead of a register constraint type, as shown in the following example: int x=1; void example2() { asm( "addl $1, %0" : "+m" (x) ); } The compiler generates a memory reference in place of a memory constraint. This eliminates the two extraneous moves: example2: ..Dcfb0: pushq %rbp ..Dcfi0: movq %rsp, %rbp ..Dcfi1: ..EN1: ## lineno: 5 addl $1, x(%rip) ## lineno: 0 popq %rbp ret Because the assembly uses a memory reference to variable x, it does not have to move x into a register prior to the asm statement; nor does it need to store the result after the asm statement. Additional constraint types are found in “Additional Constraints,” on page 139. The examples thus far have used only one output operand. Because extended asm accepts a list of output operands, asm statements can have more than one result. For example: void example4() { int x=1; int y=2; asm( "addl $1, %1\n" "addl %1, %0": "+r" (x), "+m" (y) ); } The example above increments variable y by 1 then adds it to variable x. Multiple output operands are separated with a comma. The first output operand is item 0 ("%0") and the second is item 1 ("%1") in the asm "string". The resulting values for x and y are 4 and 3 respectively.Chapter 12. C/C++ Inline Assembly and Intrinsics 137 Input Operands The [input operands] are an optional list of input constraint and expression pairs that specify what "C" values are needed by the asm statement. The input constraints specify how the data is delivered to the asm statement. For example, "r" (x) says that the input operand is a register that has a copy of the value stored in "C" variable x. Another example is "m" (x) which says that the input item is the memory location associated with variable x. Other constraint types are discussed in “Additional Constraints,” on page 139. An example follows: void example5() { int x=1; int y=2; int z=3; asm( "addl %2, %1\n" "addl %2, %0" : "+r" (x), "+m" (y) : "r" (z) ); } The previous example adds variable z, item 2, to variable x and variable y. The resulting values for x and y are 4 and 5 respectively. Another type of input constraint worth mentioning here is the matching constraint. A matching constraint is used to specify an operand that fills both an input as well as an output role. An example follows: int x=1; void example6() { asm( "addl $1, %1" : "=r" (x) : "0" (x) ); } The previous example is equivalent to the example2() function shown in “Output Operands,” on page 135. The constraint/expression pair, "0" (x), tells the compiler to initialize output item 0 with variable x at the beginning of the asm statement. The resulting value for x is 2. Also note that "%1" in the asm "string" means the same thing as "%0" in this case. That is because there is only one operand with both an input and an output role. Matching constraints are very similar to the read/write output operands mentioned in “Output Operands,” on page 135. However, there is one key difference between read/write output operands and matching constraints. The matching constraint can have an input expression that differs from its output expression. The example below uses different values for the input and output roles: int x; int y=2; void example7() { asm( "addl $1, %1" : "=r" (x) : "0" (y) ); } The compiler generates the following assembly for example7(): example7: ..Dcfb0: pushq %rbp ..Dcfi0:PGI® User’s Guide 138 movq %rsp, %rbp ..Dcfi1: ..EN1: ## lineno: 8 movl y(%rip), %eax addl $1, %eax movl %eax, x(%rip) ## lineno: 0 popq %rbp ret Variable x gets initialized with the value stored in y, which is 2. After adding 1, the resulting value for variable x is 3. Because matching constraints perform an input role for an output operand, it does not make sense for the output operand to have the read/write ("+") modifier. In fact, the compiler disallows matching constraints with read/write output operands. The output operand must have a write only ("=") modifier. Clobber List The [clobber list] is an optional list of strings that hold machine registers used in the asm "string". Essentially, these strings tell the compiler which registers may be clobbered by the asm statement. By placing registers in this list, the programmer does not have to explicitly save and restore them as required in traditional inline assembly (described in “Inline Assembly,” on page 133). The compiler takes care of any required saving and restoring of the registers in this list. Each machine register in the [clobber list] is a string separated by a comma. The leading '%' is optional in the register name. For example, "%eax" is equivalent to "eax". When specifying the register inside the asm "string", you must include two leading '%' characters in front of the name (for example., "%%eax"). Otherwise, the compiler will behave as if a bad input/output operand was specified and generate an error message. An example follows: void example8() { int x; int y=2; asm( "movl %1, %%eax\n" "movl %1, %%edx\n" "addl %%edx, %%eax\n" "addl %%eax, %0" : "=r" (x) : "0" (y) : "eax", "edx" ); } The code shown above uses two hard-coded registers, eax and edx. It performs the equivalent of 3*y and assigns it to x, producing a result of 6. In addition to machine registers, the clobber list may contain the following special flags: "cc" The asm statement may alter the condition code register. "memory" The asm statement may modify memory in an unpredictable fashion.Chapter 12. C/C++ Inline Assembly and Intrinsics 139 The "memory" flag causes the compiler not to keep memory values cached in registers across the asm statement and not to optimize stores or loads to that memory. For example: asm("call MyFunc":::"memory"); This asm statement contains a "memory" flag because it contains a call. The callee may otherwise clobber registers in use by the caller without the "memory" flag. The following function uses extended asm and the "cc" flag to compute a power of 2 that is less than or equal to the input parameter n. #pragma noinline int asmDivideConquer(int n) { int ax = 0; int bx = 1; asm ( "LogLoop:\n" "cmp %2, %1\n" "jnle Done\n" "inc %0\n" "add %1,%1\n" "jmp LogLoop\n" "Done:\n" "dec %0\n" :"+r" (ax), "+r" (bx) : "r" (n) : "cc"); return ax; } The "cc" flag is used because the asm statement contains some control flow that may alter the condition code register. The #pragma noinline statement prevents the compiler from inlining the asmDivideConquer()function. If the compiler inlines asmDivideConquer(), then it may illegally duplicate the labels LogLoop and Done in the generated assembly. Additional Constraints Operand constraints can be divided into four main categories: • Simple Constraints • Machine Constraints • Multiple Alternative Constraints • Constraint Modifiers Simple Constraints The simplest kind of constraint is a string of letters or characters, known as Simple Constraints, such as the "r" and "m" constraints introduced in “Output Operands,” on page 135. Table 12.1, “Simple Constraints” describes these constraints. Table 12.1. Simple Constraints Constraint Description whitespace Whitespace characters are ignored.PGI® User’s Guide 140 Constraint Description E An immediate floating point operand. F Same as "E". g Any general purpose register, memory, or immediate integer operand is allowed. i An immediate integer operand. m A memory operand. Any address supported by the machine is allowed. n Same as "i". o Same as "m". p An operand that is a valid memory address. The expression associated with the constraint is expected to evaluate to an address (for example, "p" (&x) ). r A general purpose register operand. X Same as "g". 0,1,2,..9 Matching Constraint. See “Input Operands,” on page 137 for a description. The following example uses the general or "g" constraint, which allows the compiler to pick an appropriate constraint type for the operand; the compiler chooses from a general purpose register, memory, or immediate operand. This code lets the compiler choose the constraint type for "y". void example9() { int x, y=2; asm( "movl %1, %0\n" : "=r" (x) : "g" (y) ); } This technique can result in more efficient code. For example, when compiling example9() the compiler replaces the load and store of y with a constant 2. The compiler can then generate an immediate 2 for the y operand in the example. The assembly generated by pgcc for our example is as follows: example9: ..Dcfb0: pushq %rbp ..Dcfi0: movq %rsp, %rbp ..Dcfi1: ..EN1: ## lineno: 3 movl $2, %eax ## lineno: 6 popq %rbp ret In this example, notice the use of $2 for the "y" operand. Of course, if y is always 2, then the immediate value may be used instead of the variable with the "i" constraint, as shown here: void example10()Chapter 12. C/C++ Inline Assembly and Intrinsics 141 { int x; asm( "movl %1, %0\n" : "=r" (x) : "i" (2) ); } Compiling example10() with pgcc produces assembly similar to that produced for example9(). Machine Constraints Another category of constraints is Machine Constraints. The x86 and x86_64 architectures have several classes of registers. To choose a particular class of register, you can use the x86/x86_64 machine constraints described in Table 12.2, “x86/x86_64 Machine Constraints”. Table 12.2. x86/x86_64 Machine Constraints Constraint Description a a register (e.g., %al, %ax, %eax, %rax) A Specifies a or d registers. This is used primarily for holding 64-bit integer values on 32 bit targets. The d register holds the most significant bits and the a register holds the least significant bits. b b register (e.g, %bl, %bx, %ebx, %rbx) c c register (e.g., %cl, %cx, %ecx, %rcx) C Not supported. d d register (e.g., %dl, %dx, %edx, %rdx) D di register (e.g., %dil, %di, %edi, %rdi) e Constant in range of 0xffffffff to 0x7fffffff f Not supported. G Floating point constant in range of 0.0 to 1.0. I Constant in range of 0 to 31 (e.g., for 32-bit shifts). J Constant in range of 0 to 63 (e.g., for 64-bit shifts) K Constant in range of 0 to 127. L Constant in range of 0 to 65535. M Constant in range of 0 to 3 constant (e.g., shifts for lea instruction). N Constant in range of 0 to 255 (e.g., for out instruction). q Same as "r" simple constraint. Q Same as "r" simple constraint. R Same as "r" simple constraint. S si register (e.g., %sil, %si, %edi, %rsi) t Not supported. u Not supported.PGI® User’s Guide 142 Constraint Description x XMM SSE register y Not supported. Z Constant in range of 0 to 0x7fffffff. The following example uses the "x" or XMM register constraint to subtract c from b and store the result in a. double example11() { double a; double b = 400.99; double c = 300.98; asm ( "subpd %2, %0;" :"=x" (a) : "0" (b), "x" (c) ); return a; } The generated assembly for this example is this: example11: ..Dcfb0: pushq %rbp ..Dcfi0: movq %rsp, %rbp ..Dcfi1: ..EN1: ## lineno: 4 movsd .C00128(%rip), %xmm1 movsd .C00130(%rip), %xmm2 movapd %xmm1, %xmm0 subpd %xmm2, %xmm0; ## lineno: 10 ## lineno: 11 popq %rbp ret If a specified register is not available, the pgcc and pgcpp compilers issue an error message. For example, pgcc and pgcpp reserves the "%ebx" register for Position Independent Code (PIC) on 32-bit system targets. If a program has an asm statement with a "b" register for one of the operands, the compiler will not be able to obtain that register when compiling for 32-bit with the -fPIC switch (which generates PIC). To illustrate this point, the following example is compiled for a 32-bit target using PIC: void example12() { int x=1; int y=1; asm( "addl %1, %0\n" : "+a" (x) : "b" (y) ); } Compiling with the "-tp p7" switch chooses a 32-bit target.Chapter 12. C/C++ Inline Assembly and Intrinsics 143 % pgcc example12.c -fPIC -c -tp p7 PGC-S-0354-Can't find a register in class 'BREG' for extended ASM operand 1 (example12.c: 3) PGC/x86 Linux/x86 Rel Dev: compilation completed with severe errors Multiple Alternative Constraints Sometimes a single instruction can take a variety of operand types. For example, the x86 permits registerto-memory and memory-to-register operations. To allow this flexibility in inline assembly, use multiple alternative constraints. An alternative is a series of constraints for each operand. To specify multiple alternatives, separate each alternative with a comma. Table 12.3. Multiple Alternative Constraints Constraint Description , Separates each alternative for a particular operand. ? Ignored ! Ignored The following example uses multiple alternatives for an add operation. void example13() { int x=1; int y=1; asm( "addl %1, %0\n" : "+ab,cd" (x) : "db,cam" (y) ); } example13() has two alternatives for each operand: "ab,cd" for the output operand and "db,cam" for the input operand. Each operand must have the same number of alternatives; however, each alternative can have any number of constraints (for example, the output operand in example13() has two constraints for its second alternative and the input operand has three for its second alternative). The compiler first tries to satisfy the left-most alternative of the first operand (for example, the output operand in example13()). When satisfying the operand, the compiler starts with the left-most constraint. If the compiler cannot satisfy an alternative with this constraint (for example, if the desired register is not available), it tries to use any subsequent constraints. If the compiler runs out of constraints, it moves on to the next alternative. If the compiler runs out of alternatives, it issues an error similar to the one mentioned in example12(). If an alternative is found, the compiler uses the same alternative for subsequent operands. For example, if the compiler chooses the "c" register for the output operand in example13(), then it will use either the "a" or "m" constraint for the input operand. Constraint Modifiers Characters that affect the compiler's interpretation of a constraint are known as Constraint Modifiers. Two constraint modifiers, the "=" and the "+", were introduced in “Output Operands,” on page 135. Table 12.4 summarizes each constraint modifier.PGI® User’s Guide 144 Table 12.4. Constraint Modifier Characters Constraint Modifier Description = This operand is write-only. It is valid for output operands only. If specified, the "=" must appear as the first character of the constraint string. + This operand is both read and written by the instruction. It is valid for output operands only. The output operand is initialized with its expression before the first instruction in the asm statement. If specified, the "+" must appear as the first character of the constraint string. & A constraint or an alternative constraint, as defined in “Multiple Alternative Constraints,” on page 143, containing an "&" indicates that the output operand is an early clobber operand. This type operand is an output operand that may be modified before the asm statement finishes using all of the input operands. The compiler will not place this operand in a register that may be used as an input operand or part of any memory address. % Ignored. # Characters following a "#" up to the first comma (if present) are to be ignored in the constraint. * The character that follows the "*" is to be ignored in the constraint. The "=" and "+" modifiers apply to the operand, regardless of the number of alternatives in the constraint string. For example, the "+" in the output operand of example13() appears once and applies to both alternatives in the constraint string. The "&", "#", and "*" modifiers apply only to the alternative in which they appear. Normally, the compiler assumes that input operands are used before assigning results to the output operands. This assumption lets the compiler reuse registers as needed inside the asm statement. However, if the asm statement does not follow this convention, the compiler may indiscriminately clobber a result register with an input operand. To prevent this behavior, apply the early clobber "&" modifier. An example follows: void example15() { int w=1; int z; asm( "movl $1, %0\n" "addl %2, %0\n" "movl %2, %1" : "=a" (w), "=r" (z) : "r" (w) ); } The previous code example presents an interesting ambiguity because "w" appears both as an output and as an input operand. So, the value of "z" can be either 1 or 2, depending on whether the compiler uses the same register for operand 0 and operand 2. The use of constraint "r" for operand 2 allows the compiler to pick any general purpose register, so it may (or may not) pick register "a" for operand 2. This ambiguity can be eliminated by changing the constraint for operand 2 from "r" to "a" so the value of "z" will be 2, or by adding an early clobber "&" modifier so that "z" will be 1. The following example shows the same function with an early clobber "&" modifier:Chapter 12. C/C++ Inline Assembly and Intrinsics 145 void example16() { int w=1; int z; asm( "movl $1, %0\n" "addl %2, %0\n" "movl %2, %1" : "=&a" (w), "=r" (z) : "r" (w) ); } Adding the early clobber "&" forces the compiler not to use the "a" register for anything other than operand 0. Operand 2 will therefore get its own register with its own copy of "w". The result for "z" in example16() is 1. Operand Aliases Extended asm specifies operands in assembly strings with a percent '%' followed by the operand number. For example, "%0" references operand 0 or the output item "=&a" (w) in function example16() shown above. Extended asm also supports operand aliasing, which allows use of a symbolic name instead of a number for specifying operands. An example follows: void example17() { int w=1, z=0; asm( "movl $1, %[output1]\n" "addl %[input], %[output1]\n" "movl %[input], %[output2]" : [output1] "=&a" (w), [output2] "=r" (z) : [input] "r" (w)); } In example17(), "%[output1]" is an alias for "%0", "%[output2]" is an alias for "%1", and "%[input]" is an alias for "%2". Aliases and numeric references can be mixed, as shown in the following example: void example18() { int w=1, z=0; asm( "movl $1, %[output1]\n" "addl %[input], %0\n" "movl %[input], %[output2]" : [output1] "=&a" (w), [output2] "=r" (z) : [input] "r" (w)); } In example18(), "%0" and "%[output1]" both represent the output operand. Assembly String Modifiers Special character sequences in the assembly string affect the way the assembly is generated by the compiler. For example, the "%" is an escape sequence for specifying an operand, "%%" produces a percent for hard coded registers, and "\n" specifies a new line. Table 12.5, “Assembly String Modifier Characters”summarizes these modifiers, known as Assembly String Modifiers. Table 12.5. Assembly String Modifier Characters Modifier Description \ Same as \ in printf format strings.PGI® User’s Guide 146 Modifier Description %* Adds a '*' in the assembly string. %% Adds a '%' in the assembly string. %A Adds a '*' in front of an operand in the assembly string. (For example, %A0 adds a '*' in front of operand 0 in the assembly output.) %B Produces the byte op code suffix for this operand. (For example, %b0 produces 'b' on x86 and x86_64.) %L Produces the word op code suffix for this operand. (For example, %L0 produces 'l' on x86 and x86_64.) %P If producing Position Independent Code (PIC), the compiler adds the PIC suffix for this operand. (For example, %P0 produces @PLT on x86 and x86_64.) %Q Produces a quad word op code suffix for this operand if is supported by the target. Otherwise, it produces a word op code suffix. (For example, %Q0 produces 'q' on x86_64 and 'l' on x86.) %S Produces 's' suffix for this operand. (For example, %S0 produces 's' on x86 and x86_64.) %T Produces 't' suffix for this operand. (For example, %S0 produces 't' on x86 and x86_64.) %W Produces the half word op code suffix for this operand. (For example, %W0 produces 'w' on x86 and x86_64.) %a Adds open and close parentheses ( ) around the operand. %b Produces the byte register name for an operand. (For example, if operand 0 is in register 'a', then %b0 will produce '%al'.) %c Cuts the '$' character from an immediate operand. %k Produces the word register name for an operand. (For example, if operand 0 is in register 'a', then %k0 will produce '%eax'.) %q Produces the quad word register name for an operand if the target supports quad word. Otherwise, it produces a word register name. (For example, if operand 0 is in register 'a', then %q0 produces %rax on x86_64 or %eax on x86.) %w Produces the half word register name for an operand. (For example, if operand 0 is in register 'a', then %w0 will produce '%ax'.) %z Produces an op code suffix based on the size of an operand. (For example, 'b' for byte, 'w' for half word, 'l' for word, and 'q' for quad word.) %+ %C %D %F %O %X %f %h %l %n %s %y Not Supported. These modifiers begin with either a backslash "\" or a percent "%".Chapter 12. C/C++ Inline Assembly and Intrinsics 147 The modifiers that begin with a backslash "\" (e.g., "\n") have the same effect as they do in a printf format string. The modifiers that are preceded with a "%" are used to modify a particular operand. These modifiers begin with either a backslash "\" or a percent "%" For example, "%b0" means, "produce the byte or 8 bit version of operand 0". If operand 0 is a register, it will produce a byte register such as %al, %bl, %cl, and so on. Consider this example: void example19() { int a = 1; int *p = &a; asm ("add%z0 %q1, %a0" : "=&p" (p) : "r" (a), "0" (p) ); } On an x86 target, the compiler produces the following instruction for the asm string shown in the preceding example: addl %ecx, (%eax) The "%z0" modifier produced an 'l' (lower-case 'L') suffix because the size of pointer p is 32 bits on x86. The "%q1" modifier produced the word register name for variable a. The "%a0" instructs the compiler to add parentheses around operand 0, hence "(%eax)". On an x86_64 target, the compiler produces the following instruction for the above asm string shown in the preceding example: addq %rcx, (%rax) The "%z0" modifier produced a 'q' suffix because the size of pointer p is 64-bit on x86_64. Because x86_64 supports quad word registers, the "%q1" modifier produced the quad word register name (%rax) for variable a. Extended Asm Macros As with traditional inline assembly, described in“Inline Assembly,” on page 133, extended asm can be used in a macro. For example, you can use the following macro to access the runtime stack pointer. #define GET_SP(x) \ asm("mov %%sp, %0": "=m" (##x):: "%sp" ); void example20() { void * stack_pointer; GET_SP(stack_pointer); } The GET_SP macro assigns the value of the stack pointer to whatever is inserted in its argument (for example, stack_pointer). Another "C" extension known as statement expressions is used to write the GET_SP macro another way: #define GET_SP2 ({ \ void *my_stack_ptr; \ asm("mov %%sp, %0": "=m" (my_stack_ptr) :: "%sp" ); \PGI® User’s Guide 148 my_stack_ptr; \ }) void example21() { void * stack_pointer = GET_SP2; } The statement expression allows a body of code to evaluate to a single value. This value is specified as the last instruction in the statement expression. In this case, the value is the result of the asm statement, my_stack_ptr. By writing an asm macro with a statement expression, the asm result may be assigned directly to another variable (for example, void * stack_pointer = GET_SP2) or included in a larger expression, such as: void * stack_pointer = GET_SP2 - sizeof(long). Which style of macro to use depends on the application. If the asm statement needs to be a part of an expression, then a macro with a statement expression is a good approach. Otherwise, a traditional macro, like GET_SP(x), will probably suffice. Intrinsics Inline intrinsic functions map to actual x86 or x64 machine instructions. Intrinsics are inserted inline to avoid the overhead of a function call. The compiler has special knowledge of intrinsics, so with use of intrinsics, better code may be generated as compared to extended inline assembly code. The PGI Workstation version 7.0 or higher compiler intrinsics library implements MMX, SSE, SS2, SSE3, SSSE3, SSE4a, and ABM instructions. The intrinsic functions are available to C and C++ programs on Linux and Windows. Unlike most functions which are in libraries, intrinsics are implemented internally by the compiler. A program can call the intrinsic functions from C/C++ source code after including the corresponding header file. The intrinsics are divided into header files as follows: Table 12.6. Intrinsic Header File Organization Instructions Header File MMX mmintrin.h SSE xmmintrin.h SSE2 emmintrin.h SSE3 pmmintrin.h SSSE3 tmmintrin.h SSE4a ammintrin.h ABM intrin.h The following is a simple example program that calls XMM intrinsics. #include int main(){ __m128 __A, __B, result;Chapter 12. C/C++ Inline Assembly and Intrinsics 149 __A = _mm_set_ps(23.3, 43.7, 234.234, 98.746); __B = _mm_set_ps(15.4, 34.3, 4.1, 8.6); result = _mm_add_ps(__A,__B); return 0; }150151 Chapter 13. Fortran, C and C++ Data Types This chapter describes the scalar and aggregate data types recognized by the PGI Fortran, C, and C++ compilers, the format and alignment of each type in memory, and the range of values each type can have on x86 or x64 processor-based systems running a 32-bit operating system. For more information on x86- specific data representation, refer to the System V Application Binary Interface, Processor Supplement, listed in “Related Publications,” on page xxvii. This chapter specifically does not address x64 processor-based systems running a 64-bit operating system, because the application binary interface (ABI) for those systems is still evolving. For the latest version of the ABI, refer to http://www.x86-64.org/abi.pdf. Fortran Data Types Fortran Scalars A scalar data type holds a single value, such as the integer value 42 or the real value 112.6. The next table lists scalar data types, their size, format and range. Table 13.2, “Real Data Type Ranges,” on page 152 shows the range and approximate precision for Fortran real data types. Table 13.3, “Scalar Type Alignment,” on page 152 shows the alignment for different scalar data types. The alignments apply to all scalars, whether they are independent or contained in an array, a structure or a union. Table 13.1. Representation of Fortran Data Types Fortran Data Type Format Range INTEGER 2's complement integer -2 31 to 2 31 -1 INTEGER*2 2's complement integer -32768 to 32767 INTEGER*4 2's complement integer INTEGER*8 2's complement integer -2 63 to 2 63 -1 LOGICAL 32-bit value true or false LOGICAL*1 8-bit value true or falsePGI® User’s Guide 152 Fortran Data Type Format Range LOGICAL*2 16-bit value true or false LOGICAL*4 32-bit value true or false LOGICAL*8 64-bit value true or false BYTE 2's complement -128 to 127 REAL Single-precision floating point 10 -37 to 1038 (1) REAL*4 Single-precision floating point 10 -37 to 1038 (1) REAL*8 Double-precision floating point 10 -307 to 1038 (1) DOUBLE PRECISION Double-precision floating point 10 -307 to 1038 (1) COMPLEX Single-precision floating point 10 -37 to 1038 (1) DOUBLE COMPLEX Double-precision floating point 10 -307 to 1038 (1) COMPLEX*16 Double-precision floating point 10 -307 to 1038 (1) CHARACTER*n Sequence of n bytes (1) Approximate value The logical constants .TRUE. and .FALSE. are all ones and all zeroes, respectively. Internally, the value of a logical variable is true if the least significant bit is one and false otherwise. When the option –Munixlogical is set, a logical variable with a non-zero value is true and with a zero value is false. Table 13.2. Real Data Type Ranges Data Type Binary Range Decimal Range Digits of Precision REAL -2 -126 to 2 128 10 -37 to 1038 (1) 7-8 REAL*8 -2 -1022 to 2 1024 10 -307 to 1038 (1) 15-16 Table 13.3. Scalar Type Alignment This Type... ...Is aligned on this size boundary LOGICAL*1 1-byte LOGICAL*2 2-byte LOGICAL*4 4-byte LOGICAL*8 8-byte BYTE 1-byteChapter 13. Fortran, C and C++ Data Types 153 This Type... ...Is aligned on this size boundary INTEGER*2 2-byte INTEGER*4 4-byte INTEGER*8 8-byte REAL*4 4-byte REAL*8 8-byte COMPLEX*8 4-byte COMPLEX*16 8-byte FORTRAN 77 Aggregate Data Type Extensions The PGF77 compiler supports de facto standard extensions to FORTRAN 77 that allow for aggregate data types. An aggregate data type consists of one or more scalar data type objects. You can declare the following aggregate data types: array consists of one or more elements of a single data type placed in contiguous locations from first to last. structure is a structure that can contain different data types. The members are allocated in the order they appear in the definition but may not occupy contiguous locations. union is a single location that can contain any of a specified set of scalar or aggregate data types. A union can have only one value at a time. The data type of the union member to which data is assigned determines the data type of the union after that assignment. The alignment of an array, a structure or union (an aggregate) affects how much space the object occupies and how efficiently the processor can address members. Arrays use the alignment of their members. Array types align according to the alignment of the array elements. For example, an array of INTEGER*2 data aligns on a 2-byte boundary. Structures and Unions align according to the alignment of the most restricted data type of the structure or union. In the next example, the union aligns on a 4-byte boundary since the alignment of c, the most restrictive element, is four. STRUCTURE /astr/ UNION MAP INTEGER*2 a ! 2 bytes END MAP PGI® User’s Guide 154 MAP BYTE b ! 1 byte END MAP MAP INTEGER*4 c ! 4 bytes END MAP END UNION END STRUCTURE Structure alignment can result in unused space called padding. Padding between members of the structure is called internal padding. Padding between the last member and the end of the space is called tail padding. The offset of a structure member from the beginning of the structure is a multiple of the member’s alignment. For example, since an INTEGER*2 aligns on a 2-byte boundary, the offset of an INTEGER*2 member from the beginning of a structure is a multiple of two bytes. Fortran 90 Aggregate Data Types (Derived Types) The Fortran 90 standard added formal support for aggregate data types. The TYPE statement begins a derived type data specification or declares variables of a specified user-defined type. For example, the following would define a derived type ATTENDEE: TYPE ATTENDEE CHARACTER(LEN=30) NAME CHARACTER(LEN=30) ORGANIZATION CHARACTER (LEN=30) EMAIL END TYPE ATTENDEE In order to declare a variable of type ATTENDEE and access the contents of such a variable, code such as the following would be used: TYPE (ATTENDEE) ATTLIST(100) . . . ATTLIST(1)%NAME = ‘JOHN DOE’ C and C++ Data Types C and C++ Scalars Table 13.4, “C/C++ Scalar Data Types”lists C and C++ scalar data types, providing their size and format. The alignment of a scalar data type is equal to its size. Table 13.5, “Scalar Alignment,” on page 155 shows scalar alignments that apply to individual scalars and to scalars that are elements of an array or members of a structure or union. Wide characters are supported (character constants prefixed with an L). The size of each wide character is 4 bytes. Table 13.4. C/C++ Scalar Data Types Data Type Size (bytes) Format Range unsigned char 1 ordinal 0 to 255 [signed] char 1 2's complement integer -128 to 127 unsigned short 2 ordinal 0 to 65535 [signed] short 2 2's complement integer -32768 to 32767Chapter 13. Fortran, C and C++ Data Types 155 Data Type Size (bytes) Format Range unsigned int 4 ordinal 0 to 2 32 -1 [signed] int 4 2's complement integer -2 31 to 2 31 -1 [signed] long [int] (32-bit operating systems and win64) 4 2's complement integer -2 31 to 2 31 -1 [signed] long [int] (linux86- 64 and sua64) 8 2's complement integer -2 63 to 2 63 -1 unsigned long [int] (32-bit operating systems and win64) 4 ordinal 0 to 2 32 -1 unsigned long [int] (linux86- 64 and sua64) 8 ordinal 0 to 2 64 -1 [signed] long long [int] 8 2's complement integer -2 63 to 2 63 -1 unsigned long long [int] 8 ordinal 0 to 2 64 -1 float 4 IEEE single-precision floating-point 10 -37 to 10 38 (1) double 8 IEEE double-precision floating-point 10 -307 to 10 308 (1) long double 8 IEEE double-precision floating-point 10 -307 to 10 308 (1) bit field (2) (unsigned value) 1 to 32 bits ordinal 0 to 2 size -1, where size is the number of bits in the bit field bit field (2) (signed value) 1 to 32 bits 2's complement integer -2 size-1 to 2 size-1 -1, where size is the number of bits in the bit field pointer 4 address 0 to 2 32 -1 enum 4 2's complement integer -2 31 to 2 31 -1 (1) Approximate value (2) Bit fields occupy as many bits as you assign them, up to 4 bytes, and their length need not be a multiple of 8 bits (1 byte) Table 13.5. Scalar Alignment Data Type Alignment on this size boundary char 1-byte boundary, signed or unsigned. short 2-byte boundary, signed or unsigned. int 4-byte boundary, signed or unsigned.PGI® User’s Guide 156 Data Type Alignment on this size boundary enum 4-byte boundary. pointer 4-byte boundary. float 4-byte boundary. double 8-byte boundary. long double 8-byte boundary. long [int] 32-bit on Win64 4-byte boundary, signed or unsigned. long [int] linux86-64, sua64 8-byte boundary, signed or unsigned. long long [int] 8-byte boundary, signed or unsigned. C and C++ Aggregate Data Types An aggregate data type consists of one or more scalar data type objects. You can declare the following aggregate data types: array consists of one or more elements of a single data type placed in contiguous locations from first to last. class (C++ only) is a class that defines an object and its member functions. The object can contain fundamental data types or other aggregates including other classes. The class members are allocated in the order they appear in the definition but may not occupy contiguous locations. struct is a structure that can contain different data types. The members are allocated in the order they appear in the definition but may not occupy contiguous locations. When a struct is defined with member functions, its alignment rules are the same as those for a class. union is a single location that can contain any of a specified set of scalar or aggregate data types. A union can have only one value at a time. The data type of the union member to which data is assigned determines the data type of the union after that assignment. Class and Object Data Layout Class and structure objects with no virtual entities and with no base classes, that is just direct data field members, are laid out in the same manner as C structures. The following section describes the alignment and size of these C-like structures. C++ classes (and structures as a special case of a class) are more difficult to describe. Their alignment and size is determined by compiler generated fields in addition to user-specified fields. The following paragraphs describe how storage is laid out for more general classes. The user is warned that the alignment and size of a class (or structure) is dependent on the existence and placement of direct and virtual base classes and of virtual function information. The information that follows is for informational purposes only, reflects the current implementation, and is subject to change. Do not make assumptions about the layout of complex classes or structures. All classes are laid out in the same general way, using the following pattern (in the sequence indicated):Chapter 13. Fortran, C and C++ Data Types 157 • First, storage for all of the direct base classes (which implicitly includes storage for non-virtual indirect base classes as well): • When the direct base class is also virtual, only enough space is set aside for a pointer to the actual storage, which appears later. • In the case of a non-virtual direct base class, enough storage is set aside for its own non-virtual base classes, its virtual base class pointers, its own fields, and its virtual function information, but no space is allocated for its virtual base classes. • Next, storage for the class’s own fields. • Next, storage for virtual function information (typically, a pointer to a virtual function table). • Finally, storage for its virtual base classes, with space enough in each case for its own non-virtual base classes, virtual base class pointers, fields, and virtual function information. Aggregate Alignment The alignment of an array, a structure or union (an aggregate) affects how much space the object occupies and how efficiently the processor can address members. Arrays align according to the alignment of the array elements. For example, an array of short data type aligns on a 2-byte boundary. Structures and Unions align according to the most restrictive alignment of the enclosing members. For example the union un1 below aligns on a 4-byte boundary since the alignment of c, the most restrictive element, is four: union un1 { short a; /* 2 bytes */ char b; /* 1 byte */ int c; /* 4 bytes */ }; Structure alignment can result in unused space, called padding. Padding between members of a structure is called internal padding. Padding between the last member and the end of the space occupied by the structure is called tail padding. Figure 13.1, “Internal Padding in a Structure,” on page 157, illustrates structure alignment. Consider the following structure: struct strc1 { char a; /* occupies byte 0 */ short b; /* occupies bytes 2 and 3 */ char c; /* occupies byte 4 */ int d; /* occupies bytes 8 through 11 */ }; Figure 13.1. Internal Padding in a StructurePGI® User’s Guide 158 Figure 13.2, “Tail Padding in a Structure,” on page 158, shows how tail padding is applied to a structure aligned on a doubleword (8 byte) boundary. struct strc2{ int m1[4]; /* occupies bytes 0 through 15 */ double m2; /* occupies bytes 16 through 23 */ short m3; /* occupies bytes 24 and 25 */ } st; Bit-field Alignment Bit-fields have the same size and alignment rules as other aggregates, with several additions to these rules: • Bit-fields are allocated from right to left. • A bit-field must entirely reside in a storage unit appropriate for its type. Bit-fields never cross unit boundaries. • Bit-fields may share a storage unit with other structure/union members, including members that are not bitfields. • Unnamed bit-field's types do not affect the alignment of a structure or union. • Items of [signed/unsigned] long long type may not appear in field declarations on 32-bit systems. Figure 13.2. Tail Padding in a Structure Other Type Keywords in C and C++ The void data type is neither a scalar nor an aggregate. You can use void or void* as the return type of a function to indicate the function does not return a value, or as a pointer to an unspecified data type, respectively. The const and volatile type qualifiers do not in themselves define data types, but associate attributes with other types. Use const to specify that an identifier is a constant and is not to be changed. Use volatile to prevent optimization problems with data that can be changed from outside the program, such as memory#mapped I/O buffers.159 Chapter 14. C++ Name Mangling Name mangling transforms the names of entities so that the names include information on aspects of the entity’s type and fully qualified name. This ability is necessary since the intermediate language into which a program is translated contains fewer and simpler name spaces than there are in the C++ language; specifically: • Overloaded function names are not allowed in the intermediate language. • Classes have their own scopes in C++, but not in the generated intermediate language. For example, an entity x from inside a class must not conflict with an entity x from the file scope. • External names in the object code form a completely flat name space. The names of entities with external linkage must be projected onto that name space so that they do not conflict with one another. A function f from a class A, for example, must not have the same external name as a function f from class B. • Some names are not names in the conventional sense of the word, they're not strings of alphanumeric characters, for example: operator=. There are two main problems here: 1. Generating external names that will not clash. 2. Generating alphanumeric names for entities with strange names in C++. Name mangling solves these problems by generating external names that will not clash, and alphanumeric names for entities with strange names in C++. It also solves the problem of generating hidden names for some behind-the-scenes language support in such a way that they match up across separate compilations. You see mangled names if you view files that are translated by PGC++, and you do not use tools that demangle the C++ names. Intermediate files that use mangled names include the assembly and object files created by the pgcpp command. To view demangled names, use the tool pgdecode, which takes input from stdin. prompt> pgdecode g__1ASFf A::g(float) The name mangling algorithm for the PGC++ compiler is the same as that for cfront, and, except for a few minor details, also matches the description in Section 7.2, Function Name Encoding, of The Annotated C++ Reference Manual (ARM). Refer to the ARM for a complete description of name mangling.PGI® User’s Guide 160 Types of Mangling The following entity names are mangled: • Function names including non-member function names are mangled, to deal with overloading. Names of functions with extern "C" linkage are not mangled. • Mangled function names have the function name followed by __ followed by F followed by the mangled description of the types of the parameters of the function. If the function is a member function, the mangled form of the class name precedes the F. If the member function is static, an S also precedes the F. int f(float); // f__Ff class A int f(float); // f__1AFf static int g(float); // g__1ASFf ; • Special and operator function names, like constructors and operator=(). The encoding is similar to that for normal functions, but a coded name is used instead of the routine name: class A int operator+(float); // __pl__1Aff A(float); // __ct__1Aff ; int operator+(A, float); // __pl__F1Af • Static data member names. The mangled form is the member name followed by __ followed by the mangled form of the class name: class A static int i; // i__1A ; • Names of variables generated for virtual function tables. These have names like vtblmangled-classname or vtblmangled-base-class-namemangled-class-name. • Names of variables generated to contain runtime type information. These have names like Ttypeencoding and TIDtype-encoding. Mangling Summary This section lists some of the C++ entities that are mangled and provides some details on the mangling algorithm. For more details, refer to The Annotated C++ Reference Manual. Type Name Mangling Using PGC++, each type has a corresponding mangled encoding. For example, a class type is represented as the class name preceded by the number of characters in the class name, as in 5abcde for abcde. Simple types are encoded as lower-case letters, as in i for int or f for float. Type modifiers and declarators are encoded as upper-case letters preceding the types they modify, as in U for unsigned or P for pointer.Chapter 14. C++ Name Mangling 161 Nested Class Name Mangling Nested class types are encoded as a Q followed by a digit indicating the depth of nesting, followed by a _, followed by the mangled-form names of the class types in the fully-qualified name of the class, from outermost to innermost: class A class B // Q2_1A1B ; ; Local Class Name Mangling The name of the nested class itself is mangled to the form described above with a prefix __, which serves to make the class name distinct from all user names. Local class names are encoded as L followed by a number (which has no special meaning; it’s just an identifying number assigned to the class) followed by __ followed by the mangled name of the class (this is not in the ARM, and cfront encodes local class names slightly differently): void f() class A // L1__1A} ; ; This form is used when encoding the local class name as a type. It’s not necessary to mangle the name of the local class itself unless it's also a nested class. Template Class Name Mangling Template classes have mangled names that encode the arguments of the template: template class abc ; abc x; abc__pt__3_ii This describes two template arguments of type int with the total length of template argument list string, including the underscore, and a fixed string, indicates parameterized type as well, the name of the class template.162163 Chapter 15. Command-Line Options Reference A command-line option allows you to specify specific behavior when a program is compiled and linked. Compiler options perform a variety of functions, such as setting compiler characteristics, describing the object code to be produced, controlling the diagnostic messages emitted, and performing some preprocessor functions. Most options that are not explicitly set take the default settings. This reference chapter describes the syntax and operation of each compiler option. For easy reference, the options are arranged in alphabetical order. For an overview and tips on which options are best for which tasks, refer to Chapter 2, “Using Command Line Options,” on page 15, which also provides summary tables of the different options. This chapter uses the following notation: [item] Square brackets indicate that the enclosed item is optional. {item | item} Braces indicate that you must select one and only one of the enclosed items. A vertical bar (|) separates the choices. ... Horizontal ellipses indicate that zero or more instances of the preceding item are valid. PGI Compiler Option Summary The following tables include all the PGI compiler options that are not language-specific. The options are separated by category for easier reference. For a complete description of each option, see the detailed information later in this chapter. Build-Related PGI Options The options included in the following table are the ones you use when you are initially building your program or application.PGI® User’s Guide 164 Table 15.1. PGI Build-Related Compiler Options Option Description –# Display invocation information. –### Show but do not execute the driver commands (same as –dryrun). –c Stops after the assembly phase and saves the object code in filename.o. –D Defines a preprocessor macro. –d Prints additional information from the preprocessor. –dryrun Show but do not execute driver commands. –E Stops after the preprocessing phase and displays the preprocessed file on the standard output. –F Stops after the preprocessing phase and saves the preprocessed file in filename.f (this option is only valid for the PGI Fortran compilers). --flagcheck Simply return zero status if flags are correct. –flags Display valid driver options. –fpic (Linux only) Generate position-independent code. –fPIC (Linux only) Equivalent to –fpic. –G (Linux only) Passed to the linker. Instructs the linker to produce a shared object file. –g77libs (Linux only) Allow object files generated by g77 to be linked into PGI main programs. –help Display driver help message. –I Adds a directory to the search path for #include files. –i2, –i4 and –i8 –i2: Treat INTEGER variables as 2 bytes. –i4: Treat INTEGER variables as 4 bytes. –i8: Treat INTEGER and LOGICAL variables as 8 bytes and use 64- bits for INTEGER*8 operations. –K Requests special compilation semantics with regard to conformance to IEEE 754. --keeplnk If the compiler generates a temporary indirect file for a long linker command, preserves the temporary file instead of deleting it. –L Specifies a library directory. –l Loads a library. –m Displays a link map on the standard output. –M Selects variations for code generation and optimization. –mcmodel=mediumChapter 15. Command-Line Options Reference 165 Option Description (–tp k8-64 and –tp p7-64 targets only) Generate code which supports the medium memory model in the linux86-64 environment. –module (F90/F95/HPF only) Save/search for module files in directory . –mp[=align,[no]numa] Interpret and process user-inserted shared-memory parallel programming directives (see Chapters 5 and 6). –noswitcherror Ignore unknown command line switches after printing an warning message. –o Names the object file. –pc (–tp px/p5/p6/piii targets only) Set precision globally for x87 floating-point calculations; must be used when compiling the main program. may be one of 32, 64 or 80. –pg Instrument the generated executable to produce a gprof-style gmon.out sample-based profiling trace file (–qp is also supported, and is equivalent). –pgf77libs Append PGF77 runtime libraries to the link line. –pgf90libs Append PGF90/PGF95 runtime libraries to the link line. –Q Selects variations for compiler steps. –R (Linux only) Passed to the Linker. Hard code into the search path for shared object files. –r Creates a relocatable object file. –r4 and –r8 –r4: Interpret DOUBLE PRECISION variables as REAL. –r8: Interpret REAL variables as DOUBLE PRECISION. –rc file Specifies the name of the driver's startup file. –s Strips the symbol-table information from the object file. –S Stops after the compiling phase and saves the assembly–language code in filename.s. –shared (Linux only) Passed to the linker. Instructs the linker to generate a shared object file. Implies –fpic. –show Display driver's configuration parameters after startup. –silent Do not print warning messages. –soname Pass the soname option and its argument to the linker. –time Print execution times for the various compilation steps. –tp [,target...] Specify the type(s) of the target processor(s).PGI® User’s Guide 166 Option Description –u Initializes the symbol table with , which is undefined for the linker. An undefined symbol triggers loading of the first member of an archive library. –U Undefine a preprocessor macro. –V[release_number] Displays the version messages and other information, or allows invocation of a version of the compiler other than the default. –v Displays the compiler, assembler, and linker phase invocations. –W Passes arguments to a specific phase. –w Do not print warning messages. PGI Debug-Related Compiler Options The options included in the following table are the ones you typically use when you are debugging your program or application. Table 15.2. PGI Debug-Related Compiler Options Option Description –C Exposes Ansi warnings only. –c Instrument the generated executable to perform array bounds checking at runtime. –E Stops after the preprocessing phase and displays the preprocessed file on the standard output. --flagcheck Simply return zero status if flags are correct. –flags Display valid driver options. –g Includes debugging information in the object module. –gopt Includes debugging information in the object module, but forces assembly code generation identical to that obtained when is not present on the command line. –K Requests special compilation semantics with regard to conformance to IEEE 754. --keeplnk If the compiler generates a temporary indirect file for a long linker command, preserves the temporary file instead of deleting it. –M Selects variations for code generation and optimization. –pc (–tp px/p5/p6/piii targets only) Set precision globally for x87 floating-point calculations; must be used when compiling the main program. may be one of 32, 64 or 80. –Mprof=timeChapter 15. Command-Line Options Reference 167 Option Description Instrument the generated executable to produce a gprof-style gmon.out sample-based profiling trace file (–qp is also supported, and is equivalent). PGI Optimization-Related Compiler Options The options included in the following table are the ones you typically use when you are optimizing your program or application code. Table 15.3. Optimization-Related PGI Compiler Options Option Description –fast Generally optimal set of flags for targets that support SSE capability. –fastsse Generally optimal set of flags for targets that include SSE/SSE2 capability. –M Selects variations for code generation and optimization. –mp[=align,[no]numa] Interpret and process user-inserted shared-memory parallel programming directives (see Chapters 5 and 6). –nfast Generally optimal set of flags for the target. Doesn’t use SSE. –O Specifies code optimization level where is 0, 1, 2, 3, or 4. –pc (–tp px/p5/p6/piii targets only) Set precision globally for x87 floating-point calculations; must be used when compiling the main program. may be one of 32, 64 or 80. –Mprof=time Instrument the generated executable to produce a gprof-style gmon.out sample-based profiling trace file (-qp is also supported, and is equivalent). PGI Linking and Runtime-Related Compiler Options The options included in the following table are the ones you typically use to define parameters related to linking and running your program or application code. Table 15.4. Linking and Runtime-Related PGI Compiler Options Option Description –byteswapio (Fortran only) Swap bytes from big-endian to little-endian or vice versa on input/output of unformatted data –fpic (Linux only) Generate position-independent code. –fPIC (Linux only) Equivalent to –fpic. –G (Linux only) Passed to the linker. Instructs the linker to produce a shared object file.PGI® User’s Guide 168 Option Description –g77libs (Linux only) Allow object files generated by g77 to be linked into PGI main programs. –i2, –i4 and –i8 –i2: Treat INTEGER variables as 2 bytes. –i4: Treat INTEGER variables as 4 bytes. –i8: Treat INTEGER and LOGICAL variables as 8 bytes and use 64- bits for INTEGER*8 operations. –K Requests special compilation semantics with regard to conformance to IEEE 754. –M Selects variations for code generation and optimization. –mcmodel=medium (–tp k8-64 and –tp p7-64 targets only) Generate code which supports the medium memory model in the linux86-64 environment. –shared (Linux only) Passed to the linker. Instructs the linker to generate a shared object file. Implies –fpic. –soname Pass the soname option and its argument to the linker. –tp [,target...] Specify the type(s) of the target processor(s). C and C++ Compiler Options There are a large number of compiler options specific to the PGCC and PGC++ compilers, especially PGC++. The next table lists several of these options, but is not exhaustive. For a complete list of available options, including an exhaustive list of PGC++ options, use the –help command-line option. For further detail on a given option, use –help and specify the option explicitly. The majority of these options are related to building your program or application. Table 15.5. C and C++ -specific Compiler Options Option Description –A (pgcpp only) Accept proposed ANSI C++, issuing errors for non-conforming code. –a (pgcpp only) Accept proposed ANSI C++, issuing warnings for non-conforming code. --[no_]alternative_tokens (pgcpp only) Enable/disable recognition of alternative tokens. These are tokens that make it possible to write C++ without the use of the , , [, ], #, &, and ^ and characters. The alternative tokens include the operator keywords (e.g., and, bitand, etc.) and digraphs. The default is -–no_alternative_tokens. –B Allow C++ comments (using //) in C source. –b (pgcpp only) Compile with cfront 2.1 compatibility. This accepts constructs and a version of C++ that is not partChapter 15. Command-Line Options Reference 169 Option Description of the language definition but is accepted by cfront. EDG option. –b3 (pgcpp only) Compile with cfront 3.0 compatibility. See –b above. --[no_]bool (pgcpp only) Enable or disable recognition of bool. The default value is ––bool. – –[no_]builtin Do/don’t compile with math subroutine builtin support, which causes selected math library routines to be inlined. The default is ––builtin. --cfront_2.1 (pgcpp only) Enable compilation of C++ with compatibility with cfront version 2.1. --cfront_3.0 (pgcpp only) Enable compilation of C++ with compatibility with cfront version 3.0. --compress_names (pgcpp only) Create a precompiled header file with the name filename. --dependencies (see –M) (pgcpp only) Print makefile dependencies to stdout. --dependencies_to_file filename (pgcpp only) Print makefile dependencies to file filename. --display_error_number (pgcpp only) Display the error message number in any diagnostic messages that are generated. --diag_error tag (pgcpp only) Override the normal error severity of the specified diagnostic messages. --diag_remark tag (pgcpp only) Override the normal error severity of the specified diagnostic messages. --diag_suppress tag (pgcpp only) Override the normal error severity of the specified diagnostic messages. --diag_warning tag (pgcpp only) Override the normal error severity of the specified diagnostic messages. -e (pgcpp only) Set the C++ front-end error limit to the specified . --[no_]exceptions (pgcpp only) Disable/enable exception handling support. The default is ––exceptions ––gnu_extensions (pgcpp only) Allow GNU extensions like “include next” which are required to compile Linux system header files. --[no]llalign (pgcpp only) Do/don’t align longlong integers on integer boundaries. The default is ––llalign. –M Generate make dependence lists. –MD Generate make dependence lists.PGI® User’s Guide 170 Option Description –MD,filename (pgcpp only) Generate make dependence lists and print them to file filename. --optk_allow_dollar_in_id_chars (pgcpp only) Accept dollar signs in identifiers. –P Stops after the preprocessing phase and saves the preprocessed file in filename.i. -+p (pgcpp only) Disallow all anachronistic constructs. cfront option --pch (pgcpp only) Automatically use and/or create a precompiled header file. --pch_dir directoryname (pgcpp only) The directory dirname in which to search for and/or create a precompiled header file. --[no_]pch_messages (pgcpp only) Enable/ disable the display of a message indicating that a precompiled header file was created or used. --preinclude= (pgcpp only) Specify file to be included at the beginning of compilation so you can set system-dependent macros, types, and so on. -suffix (see–P ) (pgcpp only) Use with –E, –F, or –P to save intermediate file in a file with the specified suffix. –t Control instantiation of template functions. EDG option --use_pch filename (pgcpp only) Use a precompiled header file of the specified name as part of the current compilation. --[no_]using_std (pgcpp only) Enable/disable implicit use of the std namespace when standard header files are included. –X (pgcpp only) Allow $ in names. Generic PGI Compiler Options The following descriptions are for the PGI options. For easy reference, the options are arranged in alphabetical order. For a list of options by tasks, refer to Chapter 2, “Using Command Line Options,” on page 15. –# Displays the invocations of the compiler, assembler and linker. Default: The compiler does not display individual phase invocations. Usage:The following command-line requests verbose invocation information. $ pgf95 -# prog.f Description: The –# option displays the invocations of the compiler, assembler and linker. These invocations are command-lines created by the driver from your command-line input and the default value.Chapter 15. Command-Line Options Reference 171 Related options:–Minfo, –V, –v. –### Displays the invocations of the compiler, assembler and linker, but does not execute them. Default: The compiler does not display individual phase invocations. Usage:The following command-line requests verbose invocation information. $ pgf95 -### myprog.f Description: Use the –### option to display the invocations of the compiler, assembler and linker but not to execute them. These invocations are command lines created by the compiler driver from the PGIRC files and the command-line options. Related options: –#, –dryrun, –Minfo, –V –Bdynamic Compiles for and links to the DLL version of the PGI runtime libraries. Default: The compiler uses static libraries. Usage:You can create the DLL obj1.dll and its import library obj1.lib using the following series of commands: % pgf95 -Bdynamic -c object1.f % pgf95 -Mmakedll object1.obj -o obj1.dll Then compile the main program using this command: $ pgf95 -# prog.f For a complete example, refer to Example 7.1, “Build a DLL: Fortran,” on page 82. Description: Use this option to compile for and link to the DLL version of the PGI runtime libraries. This flag is required when linking with any DLL built by the PGI compilers. This flag corresponds to the /MD flag used by Microsoft’s cl compilers. Note On Windows, -Bdynamic must be used for both compiling and linking. When you use the PGI compiler flag –Bdynamic to create an executable that links to the DLL form of the runtime, the executable built is smaller than one built without –Bdynamic. The PGI runtime DLLs, however, must be available on the system where the executable is run. The –Bdynamic flag must be used when an executable is linked against a DLL built by the PGI compilers. Related options:–Bstatic, –Mdll –Bstatic Compiles for and links to the static version of the PGI runtime libraries.PGI® User’s Guide 172 Default: The compiler uses static libraries. Usage:The following command line explicitly compiles for and links to the static version of the PGI runtime libraries: % pgf95 -Bstatic -c object1.f Description: You can use this option to explicitly compile for and link to the static version of the PGI runtime libraries. Note On Windows, -Bstatic must be used for both compiling and linking. For more information on using static libraries on Windows, refer to “Creating and Using Static Libraries on Windows,” on page 79. Related options:–Bdynamic, –Mdll –byteswapio Swaps the byte-order of data in unformatted Fortran data files on input/output. Default: The compiler does not byte-swap data on input/output. Usage: The following command-line requests that byte-swapping be performed on input/output. $ pgf95 -byteswapio myprog.f Description: Use the –byteswapio option to swap the byte-order of data in unformatted Fortran data files on input/output. When this option is used, the order of bytes is swapped in both the data and record control words; the latter occurs in unformatted sequential files. You can use option to convert big-endian format data files produced by most RISC workstations and high-end servers to the little-endian format used on x86 or x64 systems on the fly during file reads/writes. This option assumes that the record layouts of unformatted sequential access and direct access files are the same on the systems. It further assumes that the IEEE representation is used for floating-point numbers. In particular, the format of unformatted data files produced by PGI Fortran compilers is identical to the format used on Sun and SGI workstations; this format allows you to read and write unformatted Fortran data files produced on those platforms from a program compiled for an x86 or x64 platform using the –byteswapio option. Related options: –C Enables array bounds checking. Default: The compiler does not enable array bounds checking. Usage: In this example, the compiler instruments the executable produced from myprog.f to perform array bounds checking at runtime:Chapter 15. Command-Line Options Reference 173 $ pgf95 -C myprog.f Description: Use this option to enable array bounds checking. If an array is an assumed size array, the bounds checking only applies to the lower bound. If an array bounds violation occurs during execution, an error message describing the error is printed and the program terminates. The text of the error message includes the name of the array, the location where the error occurred (the source file and the line number in the source), and information about the out of bounds subscript (its value, its lower and upper bounds, and its dimension). Related options: –Mbounds. –c Halts the compilation process after the assembling phase and writes the object code to a file. Default: The compiler produces an executable file (does not use the –c option). Usage: In this example, the compiler produces the object file myprog.o in the current directory. $ pgf95 -c myprog.f Description: Use the –c option to halt the compilation process after the assembling phase and write the object code to a file. If the input file is filename.f, the output file is filename.o. Related options: –E, –Mkeepasm, –o, and –S. –d Prints additional information from the preprocessor. Default: Syntax: -d[D|I|M|N] -dD Print macros and values from source files. -dI Print include file names. -dM Print macros and values, including predefined and command-line macros. -dN Print macro names from source files. Usage: In the following example, the compiler prints macro names from the source file. $ pgf95 -dN myprog.f Description: Use the -d option to print additional information from the preprocessor.PGI® User’s Guide 174 Related options: –E, –D, –U. –D Creates a preprocessor macro with a given value. Note You can use the –D option more than once on a compiler command line. The number of active macro definitions is limited only by available memory. Syntax: -Dname[=value] Where name is the symbolic name and value is either an integer value or a character string. Default: If you define a macro name without specifying a value, the preprocessor assigns the string 1 to the macro name. Usage: In the following example, the macro PATHLENGTH has the value 256 until a subsequent compilation. If the –D option is not used, PATHLENGTH is set to 128. $ pgf95 -DPATHLENGTH=256 myprog.F The source text in myprog.F is this: #ifndef PATHLENGTH #define PATHLENGTH 128 #endif SUBROUTINE SUB CHARACTER*PATHLENGTH path ... END Use the –D option to create a preprocessor macro with a given value. The value must be either an integer or a character string. You can use macros with conditional compilation to select source text during preprocessing. A macro defined in the compiler invocation remains in effect for each module on the command line, unless you remove the macro with an #undef preprocessor directive or with the –U option. The compiler processes all of the –U options in a command line after processing the –D options. Related options: –U –dryrun Displays the invocations of the compiler, assembler, and linker but does not execute them. Default: The compiler does not display individual phase invocations. Usage: The following command-line requests verbose invocation information. $ pgf95 -dryrun myprog.fChapter 15. Command-Line Options Reference 175 Description: Use the –dryrun option to display the invocations of the compiler, assembler, and linker but not have them executed. These invocations are command lines created by the compiler driver from the PGIRC file and the command-line supplied with –dryrun. Related options: –Minfo, –V, –### –E Halts the compilation process after the preprocessing phase and displays the preprocessed output on the standard output. Default: The compiler produces an executable file. Usage: In the following example the compiler displays the preprocessed myprog.f on the standard output. $ pgf95 -E myprog.f Description: Use the –E option to halt the compilation process after the preprocessing phase and display the preprocessed output on the standard output. Related options: –C, –c, –Mkeepasm, –o, –F, –S. –F Stops compilation after the preprocessing phase. Default: The compiler produces an executable file. Usage: In the following example the compiler produces the preprocessed file myprog.f in the current directory. $ pgf95 -F myprog.F Description: Use the –F option to halt the compilation process after preprocessing and write the preprocessed output to a file. If the input file is filename.F, then the output file is filename.f. Related options: –c,–E, –Mkeepasm, –o, –S –fast Enables vectorization with SEE instructions, cache alignment, and flushz for 64-bit targets. Default: The compiler enables vectorization with SEE instructions, cache alignment, and flushz. Usage: In the following example the compiler produces vector SEE code when targeting a 64-bit machine. $ pgf95 -fast vadd.f95 Description: When you use this option, a generally optimal set of options is chosen for targets that support SSE capability. In addition, the appropriate –tp option is automatically included to enable generation of code optimized for the type of system on which compilation is performed. This option enables vectorization with SEE instructions, cache alignment, and flushz.PGI® User’s Guide 176 Note Auto-selection of the appropriate –tp option means that programs built using the –fastsse option on a given system are not necessarily backward-compatible with older systems. Note C/C++ compilers enable –Mautoinline with –fast. Related options: –nfast, –O, –Munroll, –Mnoframe, –Mscalarsse, –Mvect, –Mcache_align, –tp –fastsse Synonymous with –fast. --flagcheck Causes the compiler to check that flags are correct then exit. Default: The compiler begins a compile without the additional step to first validate that flags are correct. Usage: In the following example the compiler checks that flags are correct, and then exits. $ pgf95 --flagcheck myprog.f Description: Use this option to make the compiler check that flags are correct and then exit. If flags are all correct then the compiler returns a zero status. Related options: –flags Displays driver options on the standard output. Default: The compiler does not display the driver options. Usage: In the following example the user requests information about the known switches. $ pgf95 -flags Description: Use this option to display driver options on the standard output. When you use this option with –v, in addition to the valid options, the compiler lists options that are recognized and ignored. Related options: –#, –###, –v –fpic (Linux only) Generates position-independent code suitable for inclusion in shared object (dynamically linked library) files. Default: The compiler does not generate position-independent code.Chapter 15. Command-Line Options Reference 177 Usage: In the following example the resulting object file, myprog.o, can be used to generate a shared object. $ pgf95 -fpic myprog.f (Linux only) Use the -fpic option to generate position-independent code suitable for inclusion in shared object (dynamically linked library) files. Related options: –shared, –fPIC, –G, –R –fPIC (Linux only) Equivalent to –fpic. Provided for compatibility with other compilers. –G (Linux only) Instructs the linker to produce a shared object file. Default: The compiler does not instruct the linker to produce a shared object file. Usage: In the following example the linker produces a shared object file. $ pgf95 -G myprog.f Description: (Linux only) Use this option to pass information to the linker that instructs the linker to produce a shared object file. Related options: –fpic, –shared, –R –g Instructs the compiler to include symbolic debugging information in the object module. Default: The compiler does not put debugging information into the object module. Usage: In the following example, the object file a.out contains symbolic debugging information. $ pgf95 -g myprog.f Description: Use the –g option to instruct the compiler to include symbolic debugging information in the object module. Debuggers, such as PGDBG, require symbolic debugging information in the object module to display and manipulate program variables and source code. If you specify the –g option on the command-line, the compiler sets the optimization level to –O0 (zero), unless you specify the –O option. For more information on the interaction between the –g and –O options, see the –O entry. Symbolic debugging may give confusing results if an optimization level other than zero is selected. Note Including symbolic debugging information increases the size of the object module. Related options:–OPGI® User’s Guide 178 –gopt Instructs the compiler to include symbolic debugging information in the object file, and to generate optimized code identical to that generated when –g is not specified. Default: The compiler does not put debugging information into the object module. Usage: In the following example, the object file a.out contains symbolic debugging information. $ pgf95 -gopt myprog.f Description: Using –g alters how optimized code is generated in ways that are intended to enable or improve debugging of optimized code. The –gopt option instructs the compiler to include symbolic debugging information in the object file, and to generate optimized code identical to that generated when –g is not specified. Related options: –g77libs (Linux only) Used on the link line, this option instructs the pgf95 driver to search the necessary g77 support libraries to resolve references specific to g77 compiled program units. Note The g77 compiler must be installed on the system on which linking occurs in order for this option to function correctly. Default: The compiler does not search g77 support libraries to resolve references at link time. Usage: The following command-line requests that g77 support libraries be searched at link time: $ pgf95 -g77libs myprog.f g77_object.o Description: (Linux only) Use the –g77libs option on the link line if you are linking g77-compiled program units into a pgf95-compiled main program using the pgf95 driver. When this option is present, the pgf95 driver searches the necessary g77 support libraries to resolve references specific to g77 compiled program units. Related options: –help Used with no other options, –help displays options recognized by the driver on the standard output. When used in combination with one or more additional options, usage information for those options is displayed to standard output. Default: The compiler does not display usage information. Usage: In the following example, usage information for –Minline is printed to standard output. $ pgcc -help -Minline -Minline[=lib:||except:|Chapter 15. Command-Line Options Reference 179 name:|size:|levels:] Enable function inlining lib: Use extracted functions from extlib Inline function func except: Do not inline function func name: Inline function func size: Inline only functions smaller than n levels: Inline n levels of functions -Minline Inline all functions that were extracted In the following example, usage information for –help shows how groups of options can be listed or examined according to function $ pgcc -help -help -help[=groups|asm|debug|language|linker|opt|other| overall|phase|prepro|suffix|switch|target|variable] Show compiler switches Description: Use the –help option to obtain information about available options and their syntax. You can use –help in one of three ways: • Use –help with no parameters to obtain a list of all the available options with a brief one-line description of each. • Add a parameter to –help to restrict the output to information about a specific option. The syntax for this usage is this: -help • Add a parameter to –help to restrict the output to a specific set of options or to a building process. The syntax for this usage is this: -help= The following table lists and describes the subgroups available with –help. –help=groups Gives available groups for help. Table 15.6. Subgroups for –help Option Use this –help option To get this information... –help=asm A list of options specific to the assembly phase. –help=debug A list of options related to debug information generation. –help=groups A list of available groups to use with the help option. –help=language A list of language-specific options. –help=linker A list of options specific to link phase. –help=opt A list of options specific to optimization phase. –help=other A list of other options, such as ansi conformance pointer aliasing for C. –help=overall A list of option generic to any compiler.PGI® User’s Guide 180 Use this –help option To get this information... –help=phase A list of build process phases and to which compiler they apply. –help=prepro A list of options specific to preprocessing phase. –help=suffix A list of known file suffixes and to which phases they apply. –help=switch A list of all known options, this is equivalent to usage of –help without any parameter. –help=target A list of options specific to target processor. –help=variable A list of all variables and their current value. They can be redefined on the command line using syntax VAR=VALUE. For more examples of –help, refer to “Help with Command-line Options,” on page 16. Related options: –#, –###, –show, –V, –flags –I Adds a directory to the search path for files that are included using either the INCLUDE statement or the preprocessor directive #include. Default: The compiler searches only certain directories for included files. • For gcc-lib includes: /usr/lib64/gcc-lib • For system includes: /usr/linclude Syntax: -Idirectory Where directory is the name of the directory added to the standard search path for include files. Usage: In the following example, the compiler first searches the directory mydir and then searches the default directories for include files. $ pgf95 -Imydir Description: Adds a directory to the search path for files that are included using the INCLUDE statement or the preprocessor directive #include. Use the –I option to add a directory to the list of where to search for the included files. The compiler searches the directory specified by the –I option before the default directories. The Fortran INCLUDE statement directs the compiler to begin reading from another file. The compiler uses two rules to locate the file: 1. If the file name specified in the INCLUDE statement includes a path name, the compiler begins reading from the file it specifies. 2. If no path name is provided in the INCLUDE statement, the compiler searches (in order):Chapter 15. Command-Line Options Reference 181 • Any directories specified using the –I option (in the order specified.) • The directory containing the source file • The current directory For example, the compiler applies rule (1) to the following statements: INCLUDE '/bob/include/file1' (absolute path name) INCLUDE '../../file1' (relative path name) and rule (2) to this statement: INCLUDE 'file1' Related options: –Mnostdinc –i2, –i4 and –i8 Treat INTEGER and LOGICAL variables as either two, four, or eight bytes. Default: The compiler treats INTERGER and LOGICAL variables as four bytes. Usage: In the following example using the i8 switch causes the integer variables to be treated as 64 bits. $ pgf95 -I8 int.f int.f is a function similar to this: int.f print *, “Integer size:”, bit_size(i) end Description: Use this option to treat INTEGER and LOGICAL variables as either two, four, or eight bytes. INTEGER*8 values not only occupy 8 bytes of storage, but operations use 64 bits, instead of 32 bits. Related options: –K Requests that the compiler provide special compilation semantics. Default: The compiler does not provide special compilation semantics. Syntax: –K Where flag is one of the following: ieee Perform floating-point operations in strict conformance with the IEEE 754 standard. Some optimizations are disabled, and on some systems a more accurate math library is linked if –Kieee is used during the link step.PGI® User’s Guide 182 noieee Default flag. Use the fastest available means to perform floating-point operations, link in faster non-IEEE libraries if available, and disable underflow traps. PIC (Linux only) Generate position-independent code. Equivalent to –fpic. Provided for compatibility with other compilers. pic (Linux only) Generate position-independent code. Equivalent to –fpic. Provided for compatibility with other compilers. trap=option [,option]... Controls the behavior of the processor when floating-point exceptions occur. Possible options include: • fp • align (ignored) • inv • denorm • divz • ovf • unf • inexact Usage: In the following example, the compiler performs floating-point operations in strict conformance with the IEEE 754 standard $ pgf95 -Kieee myprog.f Description: Use -K to instruct the compile to provide special compilation semantics. The default is –Knoieee. –Ktrap is only processed by the compilers when compiling main functions or programs. The options inv, denorm, divz, ovf, unf, and inexact correspond to the processor’s exception mask bits: invalid operation, denormalized operand, divide-by-zero, overflow, underflow, and precision, respectively. Normally, the processor’s exception mask bits are on, meaning that floating-point exceptions are masked—the processor recovers from the exceptions and continues. If a floating-point exception occurs and its corresponding mask bit is off, or “unmasked”, execution terminates with an arithmetic exception (C's SIGFPE signal). –Ktrap=fp is equivalent to –Ktrap=inv,divz,ovf. Note The PGI compilers do not support exception-free execution for–Ktrap=inexact. The purpose of this hardware support is for those who have specific uses for its execution, along with the appropriate signal handlers for handling exceptions it produces. It is not designed for normal floating point operation code support. Related options:Chapter 15. Command-Line Options Reference 183 --keeplnk (Windows only.) Preserves the temporary file when the compiler generates a temporary indirect file for a long linker command. Usage: In the following example the compiler preserves each temporary file rather than deleting it. $ pgf95 --keeplnk myprog.f Description: If the compiler generates a temporary indirect file for a long linker command, use this option to instruct the compiler to preserve the temporary file instead of deleting it. Related options: –L Specifies a directory to search for libraries. Note Multiple –L options are valid. However, the position of multiple –L options is important relative to –l options supplied. Syntax: -Ldirectory Where directory is the name of the library directory. Default: The compiler searches the standard library directory. Usage: In the following example, the library directory is /lib and the linker links in the standard libraries required by PGF95 from this directory. $ pgf95 -L/lib myprog.f In the following example, the library directory /lib is searched for the library file libx.a and both the directories /lib and /libz are searched for liby.a. $ pgf95 -L/lib -lx -L/libz -ly myprog.f Use the –L option to specify a directory to search for libraries. Using –L allows you to add directories to the search path for library files. Related options:-l –l Instructs the linker to load the specified library. The linker searches in addition to the standard libraries. Note The linker searches the libraries specified with –l in order of appearance before searching the standard libraries.PGI® User’s Guide 184 Syntax: -llibrary Where library is the name of the library to search. Usage: In the following example, if the standard library directory is /lib the linker loads the library /lib/ libmylib.a, in addition to the standard libraries. $ pgf95 myprog.f -lmylib Description: Use this option to instruct the linker to load the specified library. The compiler prepends the characters lib to the library name and adds the .a extension following the library name. The linker searches each library specifies before searching the standard libraries. Related options:–L –m Displays a link map on the standard output. Default: The compiler does display the link map and does not use the –m option. Usage:When the following example is executed on Windows, pgf95 creates a link map in the file myprog.map. $ pgf95 -m myprog.f Description: Use this option to display a link map. • On Linux, the map is written to stdout. • On Windows, the map is written to a .map file whose name depends on the executable. If the executable is myprog.f, the map file is in myprog.map. Related options: –c, –o, -s, –u –M Selects options for code generation. The options are divided into the following categories: Code generation Fortran Language Controls Optimization Environment C/C++ Language Controls Miscellaneous Inlining The following table lists and briefly describes the options alphabetically and includes a field showing the category. For more details about the options as they relate to these categories, refer to “–M Options by Category,” on page 219.Chapter 15. Command-Line Options Reference 185 Table 15.7. –M Options Summary pgflag Description Category allocatable=95|03 Controls whether to use Fortran 95 or Fortran 2003 semantics in allocatable array assignments. Fortran Language anno Annotate the assembly code with source code. Miscellaneous [no]autoinline C/C++ when a function is declared with the inline keyword, inline it at –O2 and above. Inlining [no]asmkeyword Specifies whether the compiler allows the asm keyword in C/C++ source files (pgcc and pgcpp only). C/C++ Language [no]backslash Determines how the backslash character is treated in quoted strings (pgf77, pgf95, and pghpf only). Fortran Language [no]bounds Specifies whether array bounds checking is enabled or disabled. Miscellaneous – –[no_]builtin Do/don’t compile with math subroutine builtin support, which causes selected math library routines to be inlined (pgcc and pgcpp only). Optimization byteswapio Swap byte-order (big-endian to little-endian or vice versa) during I/O of Fortran unformatted data. Miscellaneous cache_align Where possible, align data objects of size greater than or equal to 16 bytes on cache-line boundaries. Optimization chkfpstk Check for internal consistency of the x87 FP stack in the prologue of a function and after returning from a function or subroutine call (–tp px/p5/p6/ piii targets only). Miscellaneous chkptr Check for NULL pointers (pgf95 and pghpf only). Miscellaneous chkstk Check the stack for available space upon entry to and before the start of a parallel region. Useful when many private variables are declared. Miscellaneous concur Enable auto-concurrentization of loops. Multiple processors or cores will be used to execute parallelizable loops. Optimization cpp Run the PGI cpp-like preprocessor without performing subsequent compilation steps. Miscellaneous cray Force Cray Fortran (CF77) compatibility (pgf77, pgf95, and pghpf only). Optimization [no]daz Do/don’t treat denormalized numbers as zero. Code Generation [no]dclchk Determines whether all program variables must be declared (pgf77, pgf95, and pghpf only). Fortran LanguagePGI® User’s Guide 186 pgflag Description Category [no]defaultunit Determines how the asterisk character (“*”) is treated in relation to standard input and standard output (regardless of the status of I/O units 5 and 6, pgf77, pgf95, and pghpf only). Fortran Language [no]depchk Checks for potential data dependencies. Optimization [no]dse Enables [disables] dead store elimination phase for programs making extensive use of function inlining. Optimization [no]dlines Determines whether the compiler treats lines containing the letter "D" in column one as executable statements (pgf77, pgf95, and pghpf only). Fortran Language dll Link with the DLL version of the runtime libraries (Windows only). Miscellaneous dollar,char Specifies the character to which the compiler maps the dollar sign code (pgf77, pgf95, and pghpf only). Fortran Language dwarf1 When used with –g, generate DWARF1 format debug information. Code Generation dwarf2 When used with –g, generate DWARF2 format debug information. Code Generation dwarf3 When used with –g, generate DWARF3 format debug information. Code Generation extend Instructs the compiler to accept 132-column source code; otherwise it accepts 72-column code (pgf77, pgf95, and pghpf only). Fortran Language extract invokes the function extractor. Inlining fcon Instructs the compiler to treat floating-point constants as float data types (pgcc and pgcpp only). C/C++ Language fixed Instructs the compiler to assume F77-style fixed format source code (pgf95 and pghpf only). Fortran Language [no]flushz Do/don’t set SSE flush-to-zero mode Code Generation [no]fprelaxed[=option] Perform certain floating point intrinsic functions using relaxed precision. Optimization free Instructs the compiler to assume F90-style free format source code (pgf95 and pghpf only). Fortran Language func32 The compiler aligns all functions to 32-byte boundaries. Code Generation gccbug[s] Matches behavior of certain gcc bugs MiscellaneousChapter 15. Command-Line Options Reference 187 pgflag Description Category noi4 Determines how the compiler treats INTEGER variables (pgf77, pgf95, and pghpf only). Optimization info Prints informational messages regarding optimization and code generation to standard output as compilation proceeds. Miscellaneous inform Specifies the minimum level of error severity that the compiler displays. Miscellaneous inline Invokes the function inliner. Inlining [no]ipa Invokes inter-procedural analysis and optimization. Optimization [no]iomutex Determines whether critical sections are generated around Fortran I/O calls (pgf77, pgf95, and pghpf only). Fortran Language keepasm Instructs the compiler to keep the assembly file. Miscellaneous [no]large_arrays Enables support for 64-bit indexing and single static data objects of size larger than 2GB. Code Generation lfs Links in libraries that allow file I/O to files of size larger than 2GB on 32-bit systems (32-bit Linux only). Environment [no]lre Disable/enable loop-carried redundancy elimination. Optimization list Specifies whether the compiler creates a listing file. Miscellaneous makedll Generate a dynamic link library (DLL) (Windows only). Miscellaneous makeimplib Passes the -def switch to the librarian without a deffile, when used without –def:deffile. Miscellaneous mpi=option Link to MPI libraries: MPICH1, MPICH2, or Microsoft MPI libraries Code Generation [no]loop32 Aligns/does not align innermost loops on 32 byte boundaries with –tp barcelona Code Generation [no]movnt Force/disable generation of non-temporal moves and prefetching. Code Generation neginfo Instructs the compiler to produce information on why certain optimizations are not performed. Miscellaneous noframe Eliminates operations that set up a true stack frame pointer for functions. Optimization nomain When the link step is called, don’t include the object file that calls the Fortran main program (pgf77, pgf95, and pghpf only). Code GenerationPGI® User’s Guide 188 pgflag Description Category noopenmp When used in combination with the –mp option, causes the compiler to ignore OpenMP parallelization directives or pragmas, but still process SGI-style parallelization directives or pragmas. Miscellaneous nopgdllmain Do not link the module containing the default DllMain() into the DLL (Windows only). Miscellaneous norpath On Linux, do not add –rpath paths to the link line. Miscellaneous nosgimp When used in combination with the –mp option, causes the compiler to ignore SGI-style parallelization directives or pragmas, but still process OpenMP directives or pragmas. Miscellaneous [no]stddef Instructs the compiler to not recognize the standard preprocessor macros. Environment nostdinc Instructs the compiler to not search the standard location for include files. Environment nostdlib Instructs the linker to not link in the standard libraries. Environment [no]onetrip Determines whether each DO loop executes at least once (pgf77, pgf95, and pghpf only). Language novintr Disable idiom recognition and generation of calls to optimized vector functions. Optimization pfi Instrument the generated code and link in libraries for dynamic collection of profile and data information at runtime. Optimization pfo Read a pgfi.out trace file and use the information to enable or guide optimizations. Optimization [no]prefetch Enable/disable generation of prefetch instructions. Optimization preprocess Perform cpp-like preprocessing on assembly language and Fortran input source files. Miscellaneous prof Set profile options; function-level and line-level profiling are supported. Code Generation [no]r8 Determines whether the compiler promotes REAL variables and constants to DOUBLE PRECISION (pgf77, pgf95, and pghpf only). Optimization [no]r8intrinsics Determines how the compiler treats the intrinsics CMPLX and REAL (pgf77, pgf95, and pghpf only). Optimization [no]recursive Allocate (do not allocate) local variables on the stack, this allows recursion. SAVEd, data-initialized, Code GenerationChapter 15. Command-Line Options Reference 189 pgflag Description Category or namelist members are always allocated statically, regardless of the setting of this switch (pgf77, pgf95, and pghpf only). [no]reentrant Specifies whether the compiler avoids optimizations that can prevent code from being reentrant. Code Generation [no]ref_externals Do/don’t force references to names appearing in EXTERNAL statements (pgf77, pgf95, and pghpf only). Code Generation safeptr Instructs the compiler to override data dependencies between pointers and arrays (pgcc and pgcpp only). Optimization safe_lastval In the case where a scalar is used after a loop, but is not defined on every iteration of the loop, the compiler does not by default parallelize the loop. However, this option tells the compiler it safe to parallelize the loop. For a given loop, the last value computed for all scalars make it safe to parallelize the loop. Code Generation [no]save Determines whether the compiler assumes that all local variables are subject to the SAVE statement (pgf77, pgf95, and pghpf only). Fortran Language [no]scalarsse Do/don’t use SSE/SSE2 instructions to perform scalar floating-point arithmetic. Optimization schar Specifies signed char for characters (pgcc and pgcpp only - also see uchar). C/C++ Language [no]second_underscore Do/don’t add the second underscore to the name of a Fortran global if its name already contains an underscore (pgf77, pgf95, and pghpf only). Code Generation [no]signextend Do/don’t extend the sign bit, if it is set. Code Generation [no]single Do/don’t convert float parameters to double parameter characters (pgcc and pgcpp only). C/C++ Language [no]smart Do/don’t enable optional post-pass assembly optimizer. Optimization [no]smartalloc[=huge| huge:|hugebss] Add a call to the routine mallopt in the main routine. Supports large TLBs on Linux and Windows. Tip. To be effective, this switch must be specified when compiling the file containing the Fortran, C, or C++ main program. Environment standard Causes the compiler to flag source code that does not conform to the ANSI standard (pgf77, pgf95, and pghpf only). Fortran LanguagePGI® User’s Guide 190 pgflag Description Category [no]stride0 Do/do not generate alternate code for a loop that contains an induction variable whose increment may be zero (pgf77, pgf95, and pghpf only). Code Generation uchar Specifies unsigned char for characters (pgcc and pgcpp only - also see schar). C/C++ Language unix Uses UNIX calling and naming conventions for Fortran subprograms (pgf77, pgf95, and pghpf for Win32 only). Code Generation [no]nounixlogical Determines whether logical .TRUE. and .FALSE. are determined by non-zero (TRUE) and zero (FALSE) values for unixlogical. With nounixlogical, the default, -1 values are TRUE and 0 values are FALSE (pgf77, pgf95, and pghpf only). Fortran Language [no]unroll Controls loop unrolling. Optimization [no]upcase Determines whether the compiler allows uppercase letters in identifiers (pgf77, pgf95, and pghpf only). Fortran Language varargs Forces Fortran program units to assume calls are to C functions with a varargs type interface (pgf77 and pgf95 only). Code Generation [no]vect Do/don’t invoke the code vectorizer. Optimization –mcmodel=medium (For use only on 64-bit Linux targets) Generates code for the medium memory model in the linux86-64 execution environment. Implies –Mlarge_arrays. Default: The compiler generates code for the small memory model. Usage: The following command line requests position independent code be generated, and the –mcmodel=medium option be passed to the assembler and linker: $ pgf95 -mcmodel=medium myprog.f Description: The default small memory model of the linux86-64 environment limits the combined area for a user’s object or executable to 1GB, with the Linux kernel managing usage of the second 1GB of address for system routines, shared libraries, stacks, and so on. Programs are started at a fixed address, and the program can use a single instruction to make most memory references. The medium memory model allows for larger than 2GB data areas, or .bss sections. Program units compiled using either –mcmodel=medium or –fpic require additional instructions to reference memory. The effect on performance is a function of the data-use of the application. The –mcmodel=medium switch must be used at both compile time and link time to create 64-bit executables. Program units compiled for the default small memory model can be linked into medium memory model executables as long as they are compiled with –fpic, or position-independent.Chapter 15. Command-Line Options Reference 191 The linux86-64 environment provides static libxxx.a archive libraries that are built with and without –fpic, and dynamic libxxx.so shared object libraries that are compiled –fpic. The –mcmodel=medium link switch implies the –fpic switch and will utilize the shared libraries by default. Similarly, the $PGI/linux86-64// lib directory contains the libraries for building small memory model codes, and the $PGI/linux86-64// libso directory contains shared libraries for building –mcmodel=medium and –fpic executables. Note –mcmodel=medium -fpic is not allowed to create shared libraries. However, you can create static archive libraries (.a) that are –fpic. Related options:–Mlarge_arrays –module Allows you to specify a particular directory in which generated intermediate .mod files should be placed. Default: The compiler places .mod files in the current working directory, and searches only in the current working directory for pre-compiled intermediate .mod files. Usage: The following command line requests that any intermediate module file produced during compilation of myprog.f be placed in the directory mymods; specifically, the file ./mymods/myprog.mod is used. $ pgf95 -module mymods myprog.f Description: Use the –module option to specify a particular directory in which generated intermediate .mod files should be placed. If the –module option is present, and USE statements are present in a compiled program unit, then is searched for .mod intermediate files prior to a search in the default local directory. Related options: –mp[=align,[no]numa] Instructs the compiler to interpret user-inserted OpenMP shared-memory parallel programming directives and pragmas, and to generate an executable file which will utilize multiple processors in a shared-memory parallel system. Default: The compiler ignores user-inserted shared-memory parallel programming directives and pragmas. Usage: The following command line requests processing of any shared-memory directives present in myprog.f: $ pgf95 -mp myprog.f Description: Use the –mp option to instruct the compiler to interpret user-inserted OpenMP shared-memory parallel programming directives and to generate an executable file which utilizes multiple processors in a shared-memory parallel system. The align sub-option forces loop iterations to be allocated to OpenMP processes using an algorithm that maximizes alignment of vector sub-sections in loops that are both parallelized and vectorized for SSE. ThisPGI® User’s Guide 192 allocation can improve performance in program units that include many such loops. It can also result in loadbalancing problems that significantly decrease performance in program units with relatively short loops that contain a large amount of work in each iteration. The numa suboption uses libnuma on systems where it is available. For a detailed description of this programming model and the associated directives and pragmas, refer to Chapter 5, “Using OpenMP”. Related options: –Mconcur and –Mvect –nfast A generally optimal set of options is chosen depending on the target system. In addition, the appropriate –tp option is automatically included to enable generation of code optimized for the type of system on which compilation is performed. Note Auto-selection of the appropriate –tp option means that programs built using the –fast option on a given system are not necessarily backward-compatible with older systems. Usage: In the following example, the compiler selects a generally optimal set of options for the target system. $ pgf95 -nfast myprog.f Description: Use this option to instruct the compiler to select a generally optimal set of options for the target system. In addition, the appropriate –tp option is automatically included to enable generation of code optimized for the type of system on which compilation is performed. Related options: –O, –Munroll, –Mnoframe, –Mvect, –tp, –Mscalarsse –noswitcherror Issues warnings instead of errors for unknown switches. Ignores unknown command line switches after printing an warning message. Default: The compiler prints an error message and then halts. Usage: In the following example, the compiler ignores unknown command line switches after printing an warning message. $ pgf95 -noswitcherror myprog.f Description: Use this option to instruct the compiler to ignore unknown command line switches after printing an warning message. Tip You can configure this behavior in the siterc file by adding: set NOSWITCHERROR=1. Related options:None.Chapter 15. Command-Line Options Reference 193 –O Invokes code optimization at the specified level. Default: The compiler optimizes at level 2 (correct?) Syntax: –O [level] Where level is an integer from 0 to 4. Usage: In the following example, since no –O option is specified, the compiler sets the optimization to level 1. $ pgf95 myprog.f In the following example, since no optimization level is specified and a –O option is specified, the compiler sets the optimization to level 2. $ pgf95 -O myprog.f Description: Use this option to invoke code optimization at the specified level - one of the following: 0 creates a basic block for each statement. Neither scheduling nor global optimization is done. To specify this level, supply a 0 (zero) argument to the –O option. 1 schedules within basic blocks and performs some register allocations, but does no global optimization. 2 performs all level-1 optimizations, and also performs global scalar optimizations such as induction variable elimination and loop invariant movement. 3 level-three specifies aggressive global optimization. This level performs all level-one and level-two op-timizations and enables more aggressive hoisting and scalar replacement optimizations that may or may not be profitable. 4 level-four performs all level-one, level-two, and level-three op-timizations and enables hoisting of guarded invariant floating point expressions. Table 15.8 shows the interaction between the –O option, –g option, –Mvect, and –Mconcur options. Table 15.8. Optimization and –O, –g, –Mvect, and –Mconcur Options Optimize Option Debug Option –M Option Optimization Level none none none 1 none none –Mvect 2PGI® User’s Guide 194 Optimize Option Debug Option –M Option Optimization Level none none –Mconcur 2 none –g none 0 –O none or –g none 2 –Olevel none or –g none level –Olevel < 2 none or –g –Mvect 2 –Olevel < 2 none or –g –Mconcur 2 Unoptimized code compiled using the option –O0 can be significantly slower than code generated at other optimization levels. Like the –Mvect option, the –Munroll option sets the optimization level to level-2 if no –O or –g options are supplied. The –gopt option is recommended for generation of debug information with optimized code. For more information on optimization, see Chapter 3, “Using Optimization & Parallelization”. Related options: –g, –M, –gopt –o Names the executable file. Use the –o option to specify the filename of the compiler object file. The final output is the result of linking. Syntax: –o filename Where filename is the name of the file for the compilation output. The filename must not have a .f extension. Default: The compiler creates executable filenames as needed. If you do not specify the –o option, the default filename is the linker output file a.out. Usage: In the following example, the executable file is myprog instead of the default a.out. $ pgf95 myprog.f -o myprog Related options: –c, –E, –F, –S –pc Note This option is available only for –tp px/p5/p6/piii targets. Allows you to control the precision of operations performed using the x87 floating point unit, and their representation on the x87 floating point stack. Syntax: –pc { 32 | 64 | 80 }Chapter 15. Command-Line Options Reference 195 Usage: $ pgf95 -pc 64 myprog.c Description: The x87 architecture implements a floating-point stack using 8 80-bit registers. Each register uses bits 0-63 as the significant, bits 64-78 for the exponent, and bit 79 is the sign bit. This 80-bit real format is the default format, called the extended format. When values are loaded into the floating point stack they are automatically converted into extended real format. The precision of the floating point stack can be controlled, however, by setting the precision control bits (bits 8 and 9) of the floating control word appropriately. In this way, you can explicitly set the precision to standard IEEE double-precision using 64 bits, or to single precision using 32 bits. 1 The default precision is system dependent. To alter the precision in a given program unit, the main program must be compiled with the same -pc option. The command line option –pc val lets the programmer set the compiler’s precision preference. Valid values for val are: • 32 single precision • 64 double precision • 80 extended precision Extended Precision Option – Operations performed exclusively on the floating-point stack using extended precision, without storing into or loading from memory, can cause problems with accumulated values within the extra 16 bits of extended precision values. This can lead to answers, when rounded, that do not match expected results. For example, if the argument to sin is the result of previous calculations performed on the floating-point stack, then an 80-bit value used instead of a 64-bit value can result in slight discrepancies. Results can even change sign due to the sin curve being too close to an x-intercept value when evaluated. To maintain consistency in this case, you can assure that the compiler generates code that calls a function. According to the x86 ABI, a function call must push its arguments on the stack (in this way memory is guaranteed to be accessed, even if the argument is an actual constant.) Thus, even if the called function simply performs the inline expansion, using the function call as a wrapper to sin has the effect of trimming the argument precision down to the expected size. Using the –Mnobuiltin option on the command line for C accomplishes this task by resolving all math routines in the library libm, performing a function call of necessity. The other method of generating a function call for math routines, but one that may still produce the inline instructions, is by using the –Kieee switch. A second example illustrates the precision control problem using a section of code to determine machine precision: program find_precision w = 1.0 100 w=w+w y=w+1 z=y-w if (z .gt. 0) goto 100 C now w is just big enough that |((w+1)-w)-1| >= 1 ... print*,w 1 According to Intel documentation, this only affects the x87 operations of add, subtract, multiply, divide, and square root. In particular, it does not appear to affect the x87 transcendental instructions.PGI® User’s Guide 196 end In this case, where the variables are implicitly real*4, operations are performed on the floating-point stack where optimization removed unnecessary loads and stores from memory. The general case of copy propagation being performed follows this pattern: a = x y = 2.0 + a Instead of storing x into a, then loading a to perform the addition, the value of x can be left on the floatingpoint stack and added to 2.0. Thus, memory accesses in some cases can be avoided, leaving answers in the extended real format. If copy propagation is disabled, stores of all left-hand sides will be performed automatically and reloaded when needed. This will have the effect of rounding any results to their declared sizes. For the above program, w has a value of 1.8446744E+19 when executed using default (extended) precision. If, however, –Kieee is set, the value becomes 1.6777216E+07 (single precision.) This difference is due to the fact that –Kieee disables copy propagation, so all intermediate results are stored into memory, then reloaded when needed. Copy propagation is only disabled for floating-point operations, not integer. With this particular example, setting the –pc switch will also adjust the result. The switch –Kieee also has the effect of making function calls to perform all transcendental operations. Although the function still produces the x86 machine instruction for computation (unless in C the –Mnobuiltin switch is set), arguments are passed on the stack, which results in a memory store and load. Finally, –Kieee also disables reciprocal division for constant divisors. That is, for a/b with unknown a and constant b, the expression is usually converted at compile time to a*(1/b), thus turning an expensive divide into a relatively fast scalar multiplication. However, numerical discrepancies can occur when this optimization is used. Understanding and correctly using the –pc, –Mnobuiltin, and Kieee switches should enable you to produce the desired and expected precision for calculations which utilize floating-point operations. Related options: –pg (Linux only) Instructs the compiler to instrument the generated executable for gprof-style sample-based profiling. Usage: In the following example the program is compiled for profiling using pgdbg or gprof. $ pgf95 -pg myprog.c Default: The compiler does not instrument the generated executable for gprof-style profiling. Description: Use this option to instruct the compiler to instrument the generated executable for gprof-style sample-based profiling. You must use this option at both the compile and link steps. A gmon.out style trace is generated when the resulting program is executed, and can be analyzed using gprof or pgprof. –pgf77libs Instructs the compiler to append PGF77 runtime libraries to the link line.Chapter 15. Command-Line Options Reference 197 Default: The compiler does not append the PGF77 runtime libraries to the link line. Usage: In the following example a .c main program is linked with an object file compiled with pgf77. $ pgcc main.c myf77.o -pgf77libs Description: Use this option to instruct the compiler to append PGF77 runtime libraries to the link line. Related options:–pgf90libs –pgf90libs Instructs the compiler to append PGF90/PGF95 runtime libraries to the link line. Default: The compiler does not append the PGF90/PGF95 runtime libraries to the link line. Usage: In the following example a .c main program is linked with an object file compiled with pgf95. $ pgf95 main.c myf95.o -pgf90libs Description: Use this option to instruct the compiler to append PGF90/PGF95 runtime libraries to the link line. Related options:-pgf77libs –Q Selects variations for compilation. There are four uses for the –Q option. Usage: The following examples show the different –Q options. $ pgf95 -Qdir /home/comp/new hello.f $ pgf95 -Qoption ld,-s hello.f $ pgf95 -Qpath /home/test hello.f $ pgf95 -Qproduce .s hello.f Description: Use this option to select variations for compilation. As illustrated in the Usage section, there are four varieties for the –Q option. The first variety, using the dir keyword, lets you supply a directory parameter that indicates the directory where the compiler driver is located. -Qdirdirectory The second variety, using the option keyword, lets you supply the option opt to the program prog. The prog parameter can be one of pgftn, as, or ld. -Qoptionprog,opt The third –Q variety, using the path keyword, lets you supply an additional pathname to the search path for the compiler’s required .o files. -QpathpathnamePGI® User’s Guide 198 The fourth –Q variety, using the produce keyword, lets you choose a stop-after location for the compilation based on the supplied sourcetype parameter. Valid sourcetypes are: .i, .c, .s and .o, which respectively indicate the stop-after locations: preprocessing, compiling, assembling, or linking. -Qproducesourcetype Related options: –p –R (Linux only) Instructs the linker to hard-code the pathname into the search path for generated shared object (dynamically linked library) files. Note There cannot be a space between R and . Usage: In the following example, at runtime the a.out executable searches the specified directory, in this case /home./Joe/myso, for shared objects. $ pgf95 -Rm/home/Joe/myso myprog.f Description: Use this option to instruct the compiler to pass information to the linker to hard-code the pathname into the search path for shared object (dynamically linked library) files. Related options: –fpic, –shared, –G –r Linux only. Creates a relocatable object file. Default: The compiler does not create a relocatable object file and does not use the –r option. Usage: In this example, pgf95 creates a relocatable object file. $ pgf95 -r myprog.f Use this option to create a relocatable object file. Related options: –c, –o, –s, –u –r4 and –r8 Interprets DOUBLE PRECISION variables as REAL (–r4) or REAL variables as DOUBLE PRECISION (–r8). Usage: In this example, the double precision variables are interpreted as REAL. $ pgf95 -r4 myprog.f Description: Interpret DOUBLE PRECISION variables as REAL (–r4) or REAL variables as DOUBLE PRECISION (–r8). Related options: –i2, –i4, –i8, –nor8Chapter 15. Command-Line Options Reference 199 –rc Specifies the name of the driver startup configuration file. If the file or pathname supplied is not a full pathname, the path for the configuration file loaded is relative to the $DRIVER path (the path of the currently executing driver). If a full pathname is supplied, that file is used for the driver configuration file. Syntax: -rc [path] filename Where path is either a relative pathname, relative to the value of $DRIVER, or a full pathname beginning with "/ ". Filename is the driver configuration file. Default: The driver uses the configuration file .pgirc. Usage: In the following example, the file .pgf95rctest, relative to /usr/pgi/linux86/bin, the value of $DRIVER, is the driver configuration file. $ pgf95 -rc .pgf95rctest myprog.f Description: Use this option to specify the name of the driver startup configuration file. If the file or pathname supplied is not a full pathname, the path for the configuration file loaded is relative to the $DRIVER path - the path of the currently executing driver. If a full pathname is supplied, that file is used for the driver configuration file. Related options: –show –rpath Linux only. Syntax: -rpath path Speicifes the name of the dirver startip configuration file, where path is either a relative pathname, or a full pathname beginning with "/". Default: The driver uses the configuration file .pgirc. Usage: In the following example, the file .pgf95rctest, relative to /usr/pgi/linux86/bin, the value of $DRIVER, is the driver configuration file. $ pgf95 -rc .pgf95rctest myprog.f Description: Use this option to specify the name of the driver startup configuration file. If the file or pathname supplied is not a full pathname, the path for the configuration file loaded is relative to the $DRIVER path - the path of the currently executing driver. If a full pathname is supplied, that file is used for the driver configuration file. Related options: –show –s (Linux only) Strips the symbol-table information from the executable file.PGI® User’s Guide 200 Default: The compiler includes all symbol-table information and does not use the –s option. Usage: In this example, pgf95 strips symbol-table information from the a.out. executable file. $ pgf95 -s myprog.f Description: Use this option to strip the symbol-table information from the executable. Related options: –c, –o, –u –S Stops compilation after the compiling phase and writes the assembly-language output to a file. Default: The compiler does not produce a .s file. Usage: In this example, pgf95 produces the file myprog.s in the current directory. $ pgf95 -S myprog.f Description: Use this option to stop compilation after the compiling phase and then write the assemblylanguage output to a file. If the input file is filename.f, then the output file is filename.s. Related options: –c, –E, –F, –Mkeepasm, –o –shared (Linux only) Instructs the compiler to pass information to the linker to produce a shared object (dynamically linked library) file. Default: The compiler does not pass information to the linker to produce a shared object file. Usage: In the following example the compiler passes information to the linker to produce the shared object file: myso.so. $ pgf95 -shared myprog.f -o myso.so Description: Use this option to instruct the compiler to pass information to the linker to produce a shared object (dynamically linked library) file. Related options: –fpic, –G, –R –show Produces driver help information describing the current driver configuration. Default: The compiler does not show driver help information. Usage: In the following example, the driver displays configuration information to the standard output after processing the driver configuration file. $ pgf95 -show myprog.f Description: Use this option to produce driver help information describing the current driver configuration.Chapter 15. Command-Line Options Reference 201 Related options: –V, –v, –###, –help, –rc –silent Do not print warning messages. Default: The compiler prints warning messages. Usage: In the following example, the driver does not display warning messages. $ pgf95 -silent myprog.f Description: Use this option to suppress warning messages. Related options: –v, –V, –w –soname (Linux only.) The compiler recognizes the –soname option and passes it through to the linker. Default: The compiler does not recognize the –soname option. Usage: In the following example, the driver passes the soname option and its argument through to the linker. $ pgf95 -soname library.so myprog.f Description: Use this option to instruct the compiler to recognize the –soname option and pass it through to the linker. Related options: –stack (Windows only.) Allows you to explicitly set stack properties for your program. Default: If –stack is not specified, then the defaults are as followed: Win32 Setting is -stack:2097152,2097152, which is approximately 2MB for reserved and committed bytes. Win64 No default setting Syntax: -stack={ (reserved bytes)[,(committed bytes)] }{, [no]check } Usage: The following example demonstrates how to reserve 524,288 stack bytes (512KB), commit 262,144 stack bytes for each routine (256KB), and disable the stack initialization code with the nocheck argument. $ pgf95 -stack=524288,262144,nocheck myprog.f Description: Use this option to explicitly set stack properties for your program. The –stack option takes one or more arguments: (reserved bytes), (committed bytes), [no]check.PGI® User’s Guide 202 reserved bytes Specifies the total stack bytes required in your program. committed bytes Specifies the number of stack bytes that the Operating System will allocate for each routine in your program. This value must be less than or equal to the stack reserved bytes value. Default for this argument is 4096 bytes [no]check Instructs the compiler to generate or not to generate stack initialization code upon entry of each routine. Check is the default, so stack initialization code is generated. Stack initialization code is required when a routine's stack exceeds the committed bytes size. When your committed bytes is equal to the reserved bytes or equal to the stack bytes required for each routine, then you can turn off the stack initialization code using the -stack=nocheck compiler option. If you do this, the compiler assumes that you are specifying enough committed stack space; and therefore, your program does not have to manage its own stack size. For more information on determining the amount of stack required by your program, refer to –Mchkstk compiler option, described in “–M Miscellaneous Controls”. Note -stack=(reserved bytes),(committed bytes) are linker options. -stack=[no]check is a compiler option. If you specify -stack=(reserved bytes),(committed bytes) on your compile line, it is only used during the link step of your build. Similarly, –stack=[no]check can be specified on your link line, but its only used during the compile step of your build. Related options:–Mchkstk –time Print execution times for various compilation steps. Default: The compiler does not print execution times for compilation steps. Usage: In the following example, pgf95 prints the execution times for the various compilation steps. $ pgf95 -time myprog.f Description: Use this option to print execution times for various compilation steps. Related options: –# –tp [,target...] Sets the target architecture.Chapter 15. Command-Line Options Reference 203 Default: The PGI compilers produce code specifically targeted to the type of processor on which the compilation is performed. In particular, the default is to use all supported instructions wherever possible when compiling on a given system. The default style of code generation is auto-selected depending on the type of processor on which compilation is performed. Further, the –tp x64 style of unified binary code generation is only enabled by an explicit –tp x64 option. Note Executables created on a given system may not be usable on previous generation systems. (For example, executables created on a Pentium 4 may fail to execute on a Pentium III or Pentium II.) Usage: In the following example, pgf95 sets the target architecture to EM64T: $ pgf95 -tp p7-64 myprog.f Description: Use this option to set the target architecture. By default, the PGI compiler uses all supported instructions wherever possible when compiling on a given system. As a result, executables created on a given system may not be usable on previous generation systems. For example, executables created on a Pentium 4 may fail to execute on a Pentium III or Pentium II. Processor-specific optimizations can be specified or limited explicitly by using the –tp option. Thus, it is possible to create executables that are usable on previous generation systems. With the exception of k8-64, k8- 64e, p7-64, and x64, any of these sub-options are valid on any x86 or x64 processor-based system. The k8-64, k8-64e, p7-64 and x64 options are valid only on x64 processor-based systems. The –tp x64 option generates unified binary object and executable files, as described in the section called “Using –tp to Generate a Unified Binary”. The following list is the possible sub-options for –tp and the processors that each sub-option is intended to target: k8-32 generate 32-bit code for AMD Athlon64, AMD Opteron and compatible processors. k8-64 generate 64-bit code for AMD Athlon64, AMD Opteron and compatible processors. k8-64e generate 64-bit code for AMD Opteron Revision E, AMD Turion, and compatible processors. p6 generate 32-bit code for Pentium Pro/II/III and AthlonXP compatible processors. p7 generate 32-bit code for Pentium 4 and compatible processors. p7-64 generate 64-bit code for Intel P4/Xeon EM64T and compatible processors. core2 generate 32-bit code for Intel Core 2 Duo and compatible processors.PGI® User’s Guide 204 core2-64 generate 64-bit code for Intel Core 2 Duo EM64T and compatible processors. piii generate 32-bit code for Pentium III and compatible processors, including support for single-precision vector code using SSE instructions. px generate 32-bit code that is usable on any x86 processor-based system. x64 generate 64-bit unified binary code including full optimizations and support for both AMD and Intel x64 processors. Refer to Table 2, “Processor Options,” on page xxvi for a concise list of the features of these processors that distinguish them as separate targets when using the PGI compilers and tools. Syntax for 64-bit targets: -tp {k8-64 | k8-64e | p7-64 | core2-64 | x64} Syntax for 32-bit targets: -tp {k8-32 | p6 | p7 | core2 | piii | px} Using –tp to Generate a Unified Binary Different processors have differences, some subtle, in hardware features such as instruction sets and cache size. The compilers make architecture-specific decisions about such things as instruction selection, instruction scheduling, and vectorization. Any of these decisions can have significant effects on performance and compatibility. PGI unified binaries provide a low-overhead means for a single program to run well on a number of hardware platforms. You can use the –tp option to produce PGI Unified Binary programs. The compilers generate, and combine into one executable, multiple binary code streams, each optimized for a specific platform. At runtime, this one executable senses the environment and dynamically selects the appropriate code stream. The target processor switch, –tp, accepts a comma-separated list of 64-bit targets and will generate code optimized for each listed target. For example, the following switch generates optimized code for three targets: k8-64, p7-64, and core2-64. Syntax for optimizing for multiple targets: -tp k8-64,p7-64,core2-64 The –tp k8-64 and –tp k8-64e options result in generation of code supported on and optimized for AMD x64 processors, while the –tp p7-64 option results in generation of code that is supported on and optimized for Intel x64 processors. Performance of k8-64 or k8-64e code executed on Intel x64 processors, or of p7-64 code executed on AMD x64 processors, can often be significantly less than that obtained with a native binary. The special –tp x64 option is equivalent to –tp k8-64,p7-64. This switch produces PGI Unified Binary programs containing code streams fully optimized and supported for both AMD64 and Intel EM64T processors.Chapter 15. Command-Line Options Reference 205 For more information on unified binaries, refer to “Processor-Specific Optimization and the Unified Binary,” on page 36. Related options: –u Initializes the symbol-table with , which is undefined for the linker. Default: The compiler does not use the –u option. Syntax: -usymbol Where symbol is a symbolic name. Usage: In this example, pgf95 initializes symbol-table with , $ pgf95 -utest myprog.f Description: Use this option to initialize the symbol-table with , which is undefined for the linker. An undefined symbol triggers loading of the first member of an archive library. Related options: –c, –o, –s –U Undefines a preprocessor macro. Syntax: -Usymbol Where symbol is a symbolic name. Usage: The following examples undefine the macro test. $ pgf95 -Utest myprog.F $ pgf95 -Dtest -Utest myprog.F Description: Use this option to undefine a preprocessor macro. You can also use the #undef pre-processor directive to undefine macros. Related options: –D,–Mnostddef. –V[release_number] Displays additional information, including version messages. Further, if a release_number is appended, the compiler driver attempts to compile using the specified release instead of the default release. Note There can be no space between –V and release_number.PGI® User’s Guide 206 Default: The compiler does not display version information and uses the release specified by your path to compile. Usage: The following command-line shows the output using the –V option. % pgf95 -V myprog.f The following command-line causes PGF95 to compile using the 5.2 release instead of the default release. % pgcc -V5.2 myprog.c Description: Use this option to display additional information, including version messages or, if a release_number is appended, to instruct the compiler driver to attempt to compile using the specified release instead of the default release. The specified release must be co-installed with the default release, and must have a release number greater than or equal to 4.1, which was the first release that supported this functionality. Related options: –Minfo, –v –v Displays the invocations of the compiler, assembler, and linker. Default: The compiler does not display individual phase invocations. Usage: In the following example you use –v to see the commands sent to compiler tools, assembler, and linker. $ pgf95 -v myprog.f90 Description: Use the –v option to display the invocations of the compiler, assembler, and linker. These invocations are command lines created by the compiler driver from the files and the –W options you specify on the compiler command-line. Related options: –Minfo, –, V, –W –W Passes arguments to a specific phase. Syntax: -W{0 | a | l },option[,option...] Note You cannot have a space between the –W and the single-letter pass identifier, between the identifier and the comma, or between the comma and the option. 0 (the number zero) specifies the compiler.Chapter 15. Command-Line Options Reference 207 a specifies the assembler. l (lowercase letter l) specifies the linker. option is a string that is passed to and interpreted by the compiler, assembler or linker. Options separated by commas are passed as separate command line arguments. Usage: In the following example the linker loads the text segment at address 0xffc00000 and the data segment at address 0xffe00000. $ pgf95 -Wl,-k,-t,0xffc00000,-d,0xffe00000 myprog.f Description: Use this option to pass arguments to a specific phase. You can use the –W option to specify options for the assembler, compiler, or linker. Note A given PGI compiler command invokes the compiler driver, which parses the command-line, and generates the appropriate commands for the compiler, assembler, and linker. Related options: –w Do not print warning messages. Default: The compiler prints warning messages. Usage: In the following example no warning messages are printed. $ pgf95 -w myprog.f Description: Use the –w option to not print warning messages. Sometimes the compiler issues many warning in which you may have no interest. You can use this option to not issue those warnings. Related options:–silent –Xs Use legacy standard mode for C and C++. Default:None. Usage: In the following example the compiler uses legacy standard mode. $ pgcc -XS myprog.c Description: Use this option to use legacy standard mode for C and C++. This option implies - alias=traditional. Related options:-alias, –XtPGI® User’s Guide 208 –Xt Use legacy traditional mode for C and C++. Default:None. Usage: In the following example the compiler uses legacy traditional mode. $ pgcc -XStmyprog.c Description: Use this option to use legacy standard mode for C and C++. This option implies - alias=traditional. Related options:-alias, –Xs C and C++ -specific Compiler Options There are a large number of compiler options specific to the PGCC and PGC++ compilers, especially PGC++. This section provides the details of several of these options, but is not exhaustive. For a complete list of available options, including an exhaustive list of PGC++ options, use the –help command-line option. For further detail on a given option, use –help and specify the option explicitly, as described in –help . –A (pgcpp only) Instructs the PGC++ compiler to accept code conforming to the proposed ANSI C++ standard, issuing errors for non-conforming code. Default: By default, the compiler accepts code conforming to the standard C++ Annotated Reference Manual. Usage: The following command-line requests ANSI conforming C++. $ pgcpp -A hello.cc Description: Use this option to instruct the PGC++ compiler to accept code conforming to the proposed ANSI C++ standard and to issues errors for non-conforming code. Related options:–a, –b and +p. –a (pgcpp only) Instructs the PGC++ compiler to accept code conforming to the proposed ANSI C++ standard, issuing warnings for non-conforming code. Default: By default, the compiler accepts code conforming to the standard C++ Annotated Reference Manual. Usage: The following command-line requests ANSI conforming C++, issuing warnings for non-conforming code. $ pgcpp -a hello.cc Description: Use this option to instruct the PGC++ compiler to accept code conforming to the proposed ANSI C++ standard and to issues warnings for non-conforming code.Chapter 15. Command-Line Options Reference 209 Related options:–A, –b and +p. –alias select optimizations based on type-based pointer alias rules in C and C++. Syntax: -alias=[ansi|traditional] Default:None Usage: The following command-line enables optimizations. $ pgcpp -alias=ansi hello.cc Description: Use this option to select optimizations based on type-based pointer alias rules in C and C++. ansi Enable optimizations using ANSI C type-based pointer disambiguation traditional Disable type-based pointer disambiguation Related options: --[no_]alternative_tokens (pgcpp only) Enables or disables recognition of alternative tokens. These are tokens that make it possible to write C++ without the use of the comma (,) , [, ], #, &, ^, and characters. The alternative tokens include the operator keywords (e.g., and, bitand, etc.) and digraphs. The default behavior is --no_alternative_tokens. Default:. The default behavior is that the recognition of alternative tokens is disabled: -- no_alternative_tokens. Usage: The following command-line enables alternative token recognition. $ pgcpp --alternative_tokens hello.cc (pgcpp only) Use this option to enable or disable recognition of alternative tokens. These tokens make it possible to write C++ without the use of the comma (,), [, ], #, &, ^, and characters. The alternative tokens include digraphs and the operator keywords, such as and, bitand, and so on. The default behavior is -- no_alternative_tokens. Related options: –B (pgcc and pgcpp only) Enables use of C++ style comments starting with // in C program units. Default: The PGCC ANSI and K&R C compiler does not allow C++ style comments. Usage: In the following example the compiler accepts C++ style comments.PGI® User’s Guide 210 $ pgcc -B myprog.cc Description: Use this option to enable use of C++ style comments starting with // in C program units. Related options: –b (pgcpp only) Enables compilation of C++ with cfront 2.1 compatibility and acceptance of anachronisms. Default: The compiler does not accept cfront language constructs that are not part of the C++ language definition. Usage: In the following example the compiler accepts cfront constructs. $ pgcpp -b myprog.cc Description: Use this option to enable compilation of C++ with cfront 2.1 compatibility. The compiler then accepts language constructs that, while not part of the C++ language definition, are accepted by the AT&T C++ Language System (cfront release 2.1). This option also enables acceptance of anachronisms. Related options: ––cfront2.1, –b3 , ––cfront3.0, +p, –A –b3 (pgcpp only) Enables compilation of C++ with cfront 3.0 compatibility and acceptance of anachronisms. Default: The compiler does not accept cfront language constructs that are not part of the C++ language definition. Usage: In the following example, the compiler accepts cfront constructs. $ pgcpp -b3 myprog.cc Description: Use this option to enable compilation of C++ with cfront 3.0 compatibility. The compiler then accepts language constructs that, while not part of the C++ language definition, are accepted by the AT&T C++ Language System (cfront release 3.0). This option also enables acceptance of anachronisms. Related options: ––cfront2.1, –b, ––cfront3.0, +p, –A --[no_]bool (pgcpp only) Enables or disables recognition of bool. Default: The compile recognizes bool: --bool. Usage: In the following example, the compiler does not recognize bool. $ pgcpp --no_bool myprog.ccChapter 15. Command-Line Options Reference 211 Description: Use this option to enable or disable recognition of bool. Related options: – –[no_]builtin Compile with or without math subroutine builtin support. Default: The default is to compile with math subroutine support: ––built. Usage: In the following example, the compiler does not build with math subroutine support. $ pgcpp --no_builtin myprog.cc Description: Use this option to enable or disable compiling with math subroutine builtin support. When you compile with math subroutine builtin support, the selected math library routines are inlined. Related options: --cfront_2.1 (pgcpp only) Enables compilation of C++ with cfront 2.1 compatibility and acceptance of anachronisms. Default: The compiler does not accept cfront language constructs that are not part of the C++ language definition. Usage: In the following example, the compiler accepts cfront constructs. $ pgcpp --cfront_2.1 myprog.cc Description: Use this option to enable compilation of C++ with cfront 2.1 compatibility. The compiler then accepts language constructs that, while not part of the C++ language definition, are accepted by the AT&T C++ Language System (cfront release 2.1). This option also enables acceptance of anachronisms. Related options: –b, –b3, ––cfront3.0, +p, –A --cfront_3.0 (pgcpp only) Enables compilation of C++ with cfront 3.0 compatibility and acceptance of anachronisms. Default: The compiler does not accept cfront language constructs that are not part of the C++ language definition. Usage: In the following example, the compiler accepts cfront constructs. $ pgcpp --cfront_3.0 myprog.cc Description: Use this option to enable compilation of C++ with cfront 3.0 compatibility. The compiler then accepts language constructs that, while not part of the C++ language definition, are accepted by the AT&T C++ Language System (cfront release 3.0). This option also enables acceptance of anachronisms.PGI® User’s Guide 212 Related options: ––cfront2.1, –b, –b3, +p, –A --compress_names Compresses long function names in the file. Default: The compiler does not compress names: --no_compress_names. Usage: In the following example, the compiler compresses long function names. $ pgcpp --ccompress_names yprog.cc Description: Use this option to specify to compress long function names. Highly nested template parameters can cause very long function names. These long names can cause problems for older assemblers. Users encountering these problems should compileall C++ code, including library code with the switch -- compress_name. Libraries supplied by PGI work with --compress_names. Related options: --create_pch filename (pgcpp only) If other conditions are satisfied, create a precompiled header file with the specified name. Note If --pch (automatic PCH mode) appears on the command line following this option, its effect is erased. Default: The compiler does not create a precompiled header file. Usage: In the following example, the compiler creates a precompiled header file, hdr1. $ pgcpp --create_pch hdr1 myprog.cc Description: If other conditions are satisfied, use this option to create a precompiled header file with the specified name. Related options: --diag_error tag (pgcpp only) Overrides the normal error severity of the specified diagnostic messages. Default: The compiler does not override normal error severity. Description: Use this option to override the normal error severity of the specified diagnostic messages. The message(s) may be specified using a mnemonic error tag or using an error number. ? Related options:--diag_remark tag, --diag_suppress tag, --diag_warning tag, --display_error_number --diag_remark tag (pgcpp only) Overrides the normal error severity of the specified diagnostic messages.Chapter 15. Command-Line Options Reference 213 Default: The compiler does not override normal error severity. Description: Use this option to override the normal error severity of the specified diagnostic messages. The message(s) may be specified using a mnemonic error tag or using an error number. Related options: --diag_error tag, --diag_suppress tag, --diag_warning tag, --display_error_number --diag_suppress tag (pgcpp only) Overrides the normal error severity of the specified diagnostic messages. Default: The compiler does not override normal error severity. Usage: In the following example, the compiler overrides the normal error severity ofthe specified diagnostic messages.. $ pgcpp --diag_suppress error_tag prog.cc Description: Use this option to override the normal error severity of the specified diagnostic messages. The message(s) may be specified using a mnemonic error tag or using an error number. Related options:--diag_error tag, --diag_remark tag, --diag_warning tag, --diag_error_number --diag_warning tag (pgcpp only) Overrides the normal error severity of the specified diagnostic messages. Default: The compiler does not override normal error severity. Usage: In the following example, the compiler overrides the normal error severity of the specified diagnostic messages. $ pgcpp --diag_suppress an_error_tag myprog.cc Description: Use this option to override the normal error severity of the specified diagnostic messages. The message(s) may be specified using a mnemonic error tag or using an error number. Related options: --diag_error tag, --diag_remark tag, --diag_suppress tag, --diag_error_number --display_error_number (pgcpp only) Displays the error message number in any diagnostic messages that are generated. The option may be used to determine the error number to be used when overriding the severity of a diagnostic message. Default: The compiler does not display error message numbers for generated diagnostic messages. Usage: In the following example, the compiler displays the error message number for any generated diagnostic messages.PLEASE PROVIDE ONE $ pgcpp --display_error_number myprog.cc Description: Use this option to display the error message number in any diagnostic messages that are generated. You can use this option to determine the error number to be used when overriding the severity of a diagnostic message.PGI® User’s Guide 214 Related options: --diag_error tag, --diag_remark tag, --diag_suppress tag, --diag_warning tag -e (pgcpp only) Set the C++ front-end error limit to the specified . --[no_]exceptions (pgcpp only) Enables or disables exception handling support. Default: The compiler provides exception handling support: --exceptions. Usage: In the following example, the compiler does not provide exception handling support. PLEASE PROVIDE ONE $ pgcpp --no_exceptions myprog.cc Description: Use this option to enable or disable exception handling support. Related options: ––gnu_extensions (pgcpp only) Allows GNU extensions. Default: The compiler does not allow GNU extensions. Usage: In the following example, the compiler allows GNU extensions. $ pgcpp --gnu_extensions myprog.cc Description: Use this option to allow GNU extensions, such as “include next”, which are required to compile Linux system header files. Related options: --[no]llalign (pgcpp only) Enables or disables alignment of long long integers on long long boundaries. Default: The compiler aligns long long integers on long long boundaries: --llalign. Usage: In the following example, the compiler does not align long long integers on long long boundaries. $ pgcpp --nollalign myprog.cc Description: Use this option to allow enable or disable alignment of long long integers on long long boundaries. Related options: –M Generates a list of make dependencies and prints them to stdout.Chapter 15. Command-Line Options Reference 215 Note The compilation stops after the preprocessing phase. Default: The compiler does not generate a list of make dependencies. Usage: In the following example, the compiler generates a list of make dependencies. $ pgcpp -M myprog.cc Description: Use this option to generate a list of make dependencies and prints them to stdout. Related options:–MD, –P, –suffix –MD Generates a list of make dependencies and prints them to a file. Default: The compiler does not generate a list of make dependencies. Usage: In the following example, the compiler generates a list of make dependencies and prints them to the file myprog.d. $ pgcpp -MD myprog.cc Description: Use this option to generate a list of make dependencies and prints them to a file. The name of the file is determined by the name of the file under compilation.dependencies_file. Related options:–M, –P, –suffix --optk_allow_dollar_in_id_chars (pgcpp only) Accepts dollar signs ($) in identifiers. Default: The compiler does not accept dollar signs ($) in identifiers. Usage: In the following example, the compiler allows dollar signs ($) in identifiers. $ pgcpp -optk_allow_dollar_in_id_chars myprog.cc Description: Use this option to instruct the compiler to accept dollar signs ($) in identifiers. –P Halts the compilation process after preprocessing and writes the preprocessed output to a file. Default: The compiler produces an executable file. Usage: In the following example, the compiler produces the preprocessed file myprog.i in the current directory. $ pgcpp -P myprog.ccPGI® User’s Guide 216 Description: Use this option to halt the compilation process after preprocessing and write the preprocessed output to a file. If the input file is filename.c or filename.cc., then the output file is filename.i. Note Use the –suffix option with this option to save the intermediate file in a file with the specified suffix. Related options: –C,–c,–E, –Mkeepasm, –o, –S -+p (pgcpp only) Disallow all anachronistic constructs. Default: The compiler disallows all anachronistic constructs. Usage: In the following example, the compiler disallows all anachronistic constructs. $ pgcpp -+p myprog.cc Description: Use this option to disallow all anachronistic constructs. Related options: --pch (pgcpp only) Automatically use and/or create a precompiled header file. Note If --use_pch or --create_pch (manual PCH mode) appears on the command line following this option, this option has no effect. Default: The compiler does not automatically use or create a precompiled header file. Usage: In the following example, the compiler automatically uses a precompiled header file. $ pgcpp --pch myprog.cc Description: Use this option to automatically use and/or create a precompiled header file. Related options: --pch_dir directoryname (pgcpp only) Specifies the directory in which to search for and/or create a precompiled header file. The compiler searches your PATH for precompiled header files / use or create a precompiled header file. Usage: In the following example, the compiler searches in the directory myhdrdir for a precompiled header file. $ pgcpp --pch_dir myhdrdir myprog.ccChapter 15. Command-Line Options Reference 217 Description: Use this option to specify the directory in which to search for and/or create a precompiled header file. You may use this option with automatic PCH mode (--pch) or manual PCH mode (--create_pch or --use_pch). Related options:--create_pch, --pch, --use_pch --[no_]pch_messages (pgcpp only) Enables or disables the display of a message indicating that the current compilation used or created a precompiled header file. The compiler displays a message when it uses or creates a precompiled header file. In the following example, no message is displayed when the precompiled header file located in myhdrdir is used in the compilation. $ pgcpp --pch_dir myhdrdir --no_pch_messages myprog.cc Description: Use this option to enable or disable the display of a message indicating that the current compilation used or created a precompiled header file. Related options:--pch_dir, --preinclude= (pgcpp only) Specifies the name of a file to be included at the beginning of the compilation. In the following example, the compiler includes the file incl_file.c at the beginning of the compilation. me $ pgcpp --preinclude=incl_file.c myprog.cc Description: Use this option to specify the name of a file to be included at the beginning of the compilation. For example, you can use this option to set system-dependent macros and types. Related options: --use_pch filename (pgcpp only) Uses a precompiled header file of the specified name as part of the current compilation. Note If --pch (automatic PCH mode) appears on the command line following this option, its effect is erased. Default: The compiler does not use a precompiled header file. In the following example, the compiler uses the precompiled header file, hdr1 as part of the current compilation. $ pgcpp --use_pch hdr1 myprog.ccPGI® User’s Guide 218 Use a precompiled header file of the specified name as part of the current compilation. If --pch (automatic PCH mode) appears on the command line following this option, its effect is erased. Related options:--create_pch, --pch_dir, --pch_messages --[no_]using_std (pgcpp only) Enables or disables implicit use of the std namespace when standard header files are included. Default:The compiler uses std namespace when standard header files are included: --using_std. Usage: The following command-line disables implicit use of the std namespace: $ pgcpp --no_using_std hello.cc Description: Use this option to enable or disable implicit use of the std namespace when standard header files are included in the compilation. Related options: –t (pgcpp only) Control instantiation of template functions. –t [arg] Default:No templates are instantiated. Usage: In the following example, all templates are instantiated. $ pgcpp -tall myprog.cc Description: Use this option to control instantiation of template functions. The argument is one of the following: all Instantiates all functions whether or not they are used. local Instantiates only the functions that are used in this compilation, and forces those functions to be local to this compilation. Note: This may cause multiple copies of local static variables. If this occurs, the program may not execute correctly. none Instantiates no functions. (this is the default) used Instantiates only the functions that are used in this compilation. Usage: In the following example, all templates are instantiated. $ pgcppChapter 15. Command-Line Options Reference 219 -tall myprog.cc –X (pgcpp only) Generates cross-reference information and places output in the specified file. Syntax: –Xfoo where foo is the specifies file for the cross reference information. Default: The compiler does not generate cross-reference information. Usage: In the following example, the compiler generates cross-reference information, placing it in the file: xreffile. $ pgcpp -Xxreffile myprog.cc Description: Use this option to generate cross-reference information and place output in the specified file. This is an EDG option. Related options: --zc_eh (Linux only) Generates zero-overhead exceptionregions. Default:The compiler does not to use --zc_eh but instead uses --sjlj_eh, which implements exception handling with setjmp and longjmp. Usage: The following command-line enables zero-overhead exception regions: $ pgcpp --zc_eh ello.cc Description: Use this option to generate zero-overhead exception regions. The --zc_eh option defers the cost of exception handling until an exception is thrown. For a program with many exception regions and few throws, this option may lead to improved run-time performance. This option is compatible with C++ code that was compiled with previous version if PGI C++. Note The --zc_eh option is available only on newer Linux systems that supply the system unwind libraries in libgcc_eh and on Windows. Related options: –M Options by Category This section describes each of the options available with –M by the categories: Code generation Fortran Language Controls OptimizationPGI® User’s Guide 220 C/C++ Language Controls Inlining Miscellaneous Environment For a complete alphabetical list of all the options, refer to “ –M Options Summary,” on page 185. The following sections provide detailed descriptions of several, but not all, of the –M options. For a complete alphabetical list of all the options, refer to “ –M Options Summary,” on page 185. These options are grouped according to categories and are listed with exact syntax, defaults, and notes concerning similar or related options. For the latest information and description of a given option, or to see all available options, use the –help command-line option, described in“–help ,” on page 178. –M Code Generation Controls This section describes the –M options that control code generation. Default: For arguments that you do not specify, the default code generation controls are these: nodaz noreentrant nostride0 noflushz noref_externals signextend norecursive nosecond_underscore Related options: –D, –I, –L, –l, –U Syntax: Description and Related Options –Mdaz Set IEEE denormalized input values to zero; there is a performance benefit but misleading results can occur, such as when dividing a small normalized number by a denormalized number. To take effect, this option must be set for the main program. –Mnodaz Do not treat denormalized numbers as zero.To take effect, this option must be set for the main program. –Mdwarf1 Generate DWARF1 format debug information; must be used in combination with –g. –Mdwarf2 Generate DWARF2 format debug information; must be used in combination with –g. –Mdwarf3 Generate DWARF3 format debug information; must be used in combination with –g. –Mflushz Set SSE flush-to-zero mode; if a floating-point underflow occurs, the value is set to zero.To take effect, this option must be set for the main program. –Mnoflushz Do not set SSE flush-to-zero mode; generate underflows.To take effect, this option must be set for the main program.Chapter 15. Command-Line Options Reference 221 –Mfunc32 Align functions on 32-byte boundaries. –Mlarge_arrays Enable support for 64-bit indexing and single static data objects larger than 2GB in size. This option is default in the presence of –mcmodel=medium. Can be used separately together with the default small memory model for certain 64-bit applications that manage their own memory space. For more information, refer to Chapter 11, “Programming Considerations for 64-Bit Environments”. –Mmpi=option -Mmpi adds the include and library options to the compile and link commands necessary to build an MPI application using MPI librariews installed with the PGI Cluister Development Kit (CDK). On Linux, this option inserts -I$MPIDIR/include into the compile line and -L$MPIDIR/lib into the link line. The specifies option determines whether to select MPICH-1 or MPICH-2 headers and libraries. The base directories for MPICH-1 and MPICH-2 are set in localrc. On Windows, this option inserts -I$MCCP_HOME/IncludeIncludeinto the compile line and - L$CCP_HOME/lib into the link line. The -Mmpi options are as specified: • –Mmpi=mpich1 - Selects preconfigured MPICH-1 communication libraries. • –Mmpi=mpich2 - Selects preconfigured MPICH-2 communication libraries. • –Mmpi=msmpi - Select Microsoft MSMPI libraries. Note The user can set the environment variables MPIDIR and MPILIBNAME to override the default values for the MPI directory and library name. MPICH1 and MPICH2 apply only for PGI CDK Cluster Development Kit; MSMPI applies only on Microsoft Compute Cluster systems. For –Mmpi=msmpi to work, the CCP_HOME environment variable must be set. When the Microsoft Compute Cluster SDK is installed, this variable is typically set to point to the MSMPI library directory. –Mnolarge_arrays Disable support for 64-bit indexing and single static data objects larger than 2GB in size. When placed after –mcmodel=medium on the command line, disables use of 64-bit indexing for applications that have no single data object larger than 2GB. –Mnomain Instructs the compiler not to include the object file that calls the Fortran main program as part of the link step. This option is useful for linking programs in which the main program is written in C/C++ and one or more subroutines are written in Fortran (pgf77, pgf95, and pghpf only). –M[no]movnt Instructs the compiler to generate nontemporal move and prefetch instructions even in cases where the compiler cannot determine statically at compile-time that these instructions will be beneficial.PGI® User’s Guide 222 –Mprof[=option[,option,...]] Set performance profiling options. Use of these options causes the resulting executable to create a performance profile that can vbe viewed and analyzed with the PGPROF performance profiler. In the descriptions that follow, PGI-style profiling implies compiler-generated source instrumentation. MPICHstyle profiling implies the use of instrumented wrappers for MPI library routines. The option argument can be any of the following: dwarf Generate limited DWARF symbol information sufficient for most performance profilers. func Perform PGI-style function-level profiling. hwcts Generate a profile using event-based sampling of hardware counters via the PAPI interface. (linux86- 64 platforms only; PAPI must be installed). lines Perform PGI-style line-level profiling. mpich1 Perform MPICH-style profiling for MPICH-1. Implied –Mmpi=mpich1. (Linux only). mpich2 Perform MPICH-style profiling for MPICH-2. Implies –Mmpi=mpich2. (Linux with MPI support licence privileges only.) msmpi Perform MPICH-style profiling for Microsoft MSMPI. Implies –Mmpi=msmpi. (Microsoft Compute Cluster Server only ). For -Mprof=msmpi to work, the CCP_HOME environment variable must be set. This variable is typically set when the Microsoft Compute Cluster SDK is installed. time Generate a profile using time-based instruction-level statistical sampling. This is equivalent to -pg, except that the profile is saved to a file names pgprof.out rather than gmon.out. –Mrecursive instructs the compiler to allow Fortran subprograms to be called recursively. –Mnorecursive Fortran subprograms may not be called recursively. –Mref_externals force references to names appearing in EXTERNAL statements (pgf77, pgf95, and pghpf only). –Mnoref_externals do not force references to names appearing in EXTERNAL statements (pgf77, pgf95, and pghpf only). –Mreentrant instructs the compiler to avoid optimizations that can prevent code from being reentrant.Chapter 15. Command-Line Options Reference 223 –Mnoreentrant instructs the compiler not to avoid optimizations that can prevent code from being reentrant. –Msecond_underscore instructs the compiler to add a second underscore to the name of a Fortran global symbol if its name already contains an underscore. This option is useful for maintaining compatibility with object code compiled using g77, which uses this convention by default (pgf77, pgf95, and pghpf only). –Mnosecond_underscore instructs the compiler not to add a second underscore to the name of a Fortran global symbol if its name already contains an underscore (pgf77, pgf95, and pghpf only). –Msignextend instructs the compiler to extend the sign bit that is set as a result of converting an object of one data type to an object of a larger signed data type. –Mnosignextend instructs the compiler not to extend the sign bit that is set as the result of converting an object of one data type to an object of a larger data type. –Msafe_lastval In the case where a scalar is used after a loop, but is not defined on every iteration of the loop, the compiler does not by default parallelize the loop. However, this option tells the compiler it’s safe to parallelize the loop. For a given loop the last value computed for all scalars make it safe to parallelize the loop. –Mstride0 instructs the compiler to inhibit certain optimizations and to allow for stride 0 array references. This option may degrade performance and should only be used if zero-stride induction variables are possible. –Mnostride0 instructs the compiler to perform certain optimizations and to disallow for stride 0 array references. –Munix use UNIX symbol and parameter passing conventions for Fortran subprograms (pgf77, pgf95, and pghpf for Win32 only). –Mvarargs force Fortran program units to assume procedure calls are to C functions with a varargs-type interface (pgf77 and pgf95 only). –M C/C++ Language Controls This section describes the –M options that affect C/C++ language interpretations by the PGI C and C++ compilers. These options are only valid to the pgcc and pgcpp compiler drivers. Default: For arguments that you do not specify, the defaults are as follows: noasmkeyword nosingle dollar,_ schar Usage:PGI® User’s Guide 224 In this example, the compiler allows the asm keyword in the source file. $ pgcc -Masmkeyword myprog.c In the following example, the compiler maps the dollar sign to the dot character. $ pgcc -Mdollar,. myprog.c In the following example, the compiler treats floating-point constants as float values. $ pgcc -Mfcon myprog.c In the following example, the compiler does not convert float parameters to double parameters. $ pgcc -Msingle myprog.c Without –Muchar or with –Mschar, the variable ch is a signed character: char ch; signed char sch; If –Muchar is specified on the command line: $ pgcc -Muchar myprog.c char ch above is equivalent to: unsigned char ch; Syntax: Description and Related Options –Masmkeyword instructs the compiler to allow the asm keyword in C source files. The syntax of the asm statement is as follows: asm("statement"); Where statement is a legal assembly-language statement. The quote marks are required. Note. The current default is to support gcc's extended asm, where the syntax of extended asm includes asm strings. The –M[no]asmkeyword switch is useful only if the target device is a Pentium 3 or older cpu type (–tp piii|p6|k7|athlon|athlonxp|px). –Mnoasmkeyword instructs the compiler not to allow the asm keyword in C source files. If you use this option and your program includes the asm keyword, unresolved references will be generated –Mdollar,char char specifies the character to which the compiler maps the dollar sign ($). The PGCC compiler allows the dollar sign in names; ANSI C does not allow the dollar sign in names. –Mfcon instructs the compiler to treat floating-point constants as float data types, instead of double data types. This option can improve the performance of single-precision code. –Mschar specifies signed char characters. The compiler treats "plain" char declarations as signed char.Chapter 15. Command-Line Options Reference 225 –Msingle do not to convert float parameters to double parameters in non-prototyped functions. This option can result in faster code if your program uses only float parameters. However, since ANSI C specifies that routines must convert float parameters to double parameters in non-prototyped functions, this option results in non#ANSI conformant code. –Mnosingle instructs the compiler to convert float parameters to double parameters in non-prototyped functions. –Muchar instructs the compiler to treat "plain" char declarations as unsigned char. –M Environment Controls This section describes the –M options that control environments. Default: For arguments that you do not specify, the default environment option depends on your configuration. Syntax: Description and Related Options –Mlfs (32-bit Linux only) link in libraries that enable file I/O to files larger than 2GB (Large File Support). –Mnostartup instructs the linker not to link in the standard startup routine that contains the entry point (_start) for the program. Note If you use the –Mnostartup option and do not supply an entry point, the linker issues the following error message: Warning: cannot find entry symbol _start –M[no]smartalloc[=huge|h[uge:|hugebss] adds a call to the routine mallopt in the main routine. This option supports large TLBs on Linux and Windows. This option must be used to compile the main routine to enable optimized malloc routines. The option arguments can be any of the following: huge Link in the huge page runtime library Enables large 2-megabyte pages to be allocated. The effect is to reduce the number of TLB entries required to execute a program. This option is most effective on Barcelona and Core 2 systems; older architectures do not have enough TLB entries for this option to be benefitical. By itself, the huge suboption tries to allocate as many huge pages as required. huge: Link the huge page runtime library and allocate n huge pages. Use this suboption to limit the number of huge pages allocated to n.PGI® User’s Guide 226 You can also limit the pages allocated by using the environment variable PGI_HUGE_PAGES. hugebss Puts the BSS section in huge pages; attempts to put a program's unititlaized data section into huge pages. Tip. To be effective, this switch must be specified when compiling the file containing the Fortran, C, or C++ main program. –M[no]stddef instructs the compiler not to predefine any macros to the preprocessor when compiling a C program. –Mnostdinc instructs the compiler to not search the standard location for include files. –Mnostdlib instructs the linker not to link in the standard libraries libpgftnrtl.a, libm.a, libc.a and libpgc.a in the library directory lib within the standard directory. You can link in your own library with the –l option or specify a library directory with the –L option. –M Fortran Language Controls This section describes the –M options that affect Fortran language interpretations by the PGI Fortran compilers. These options are valid only for the pghpf, pgf77 and pgf95 compiler drivers. Default: For arguments that you do not specify, the defaults are as follows: nobackslash noiomutex nodclchk noonetrip nodefaultunit nosave nodlines nounixlogical dollar,_ noupcase Syntax: Description and Related Options –Mallocatable=95|03 controls whether Fortran 95 or Fortran 2003 semantics are used in allocatable array assignments. The default behavior is to use Fortran 95 semantics; the 03 option instructs the compiler to use Fortran 2003 semantics. –Mbackslash the compiler treats the backslash as a normal character, and not as an escape character in quoted strings. –Mnobackslash the compiler recognizes a backslash as an escape character in quoted strings (in accordance with standard C usage). –Mdclchk the compiler requires that all program variables be declared.Chapter 15. Command-Line Options Reference 227 –Mnodclchk the compiler does not require that all program variables be declared. –Mdefaultunit the compiler treats "*" as a synonym for standard input for reading and standard output for writing. –Mnodefaultunit the compiler treats "*" as a synonym for unit 5 on input and unit 6 on output. –Mdlines the compiler treats lines containing "D" in column 1 as executable statements (ignoring the "D"). –Mnodlines the compiler does not treat lines containing "D" in column 1 as executable statements (does not ignore the "D"). –Mdollar,char char specifies the character to which the compiler maps the dollar sign. The compiler allows the dollar sign in names. –Mextend with –Mextend, the compiler accepts 132-column source code; otherwise it accepts 72-column code. –Mfixed with –Mfixed, the compiler assumes input source files are in FORTRAN 77-style fixed form format. –Mfree with –Mfree, the compiler assumes the input source files are in Fortran 90/95 freeform format. –Miomutex the compiler generates critical section calls around Fortran I/O statements. –Mnoiomutex the compiler does not generate critical section calls around Fortran I/O statements. –Monetrip the compiler forces each DO loop to execute at least once. –Mnoonetrip the compiler does not force each DO loop to execute at least once. This option is useful for programs written for earlier versions of Fortran. –Msave the compiler assumes that all local variables are subject to the SAVE statement. Note that this may allow older Fortran programs to run, but it can greatly reduce performance. –Mnosave the compiler does not assume that all local variables are subject to the SAVE statement. –Mstandard the compiler flags non-ANSI–conforming source code. –Munixlogical directs the compiler to treat logical values as true if the value is non-zero and false if the value is zero (UNIX F77 convention.) When –Munixlogical is enabled, a logical value or test that is non-zero isPGI® User’s Guide 228 .TRUE., and a value or test that is zero is .FALSE.. In addition, the value of a logical expression is guaranteed to be one (1) when the result is .TRUE.. –Mnounixlogical Directs the compiler to use the VMS convention for logical values for true and false. Even values are true and odd values are false. –Mupcase the compiler allows uppercase letters in identifiers. With –Mupcase, the identifiers "X" and "x" are different, and keywords must be in lower case. This selection affects the linking process: if you compile and link the same source code using –Mupcase on one occasion and –Mnoupcase on another, you may get two different executables (depending on whether the source contains uppercase letters). The standard libraries are compiled using the default –Mnoupcase. –Mnoupcase the compiler converts all identifiers to lower case. This selection affects the linking process: If you compile and link the same source code using –Mupcase on one occasion and –Mnoupcase on another, you may get two different executables (depending on whether the source contains uppercase letters). The standard libraries are compiled using –Mnoupcase. –M Inlining Controls This section describes the –M options that control function inlining. Before looking at all the options, let’s look at a couple examples. Usage: In the following example, the compiler extracts functions that have 500 or fewer statements from the source file myprog.f and saves them in the file extract.il. $ pgf95 -Mextract=500 -oextract.il myprog.f In the following example, the compiler inlines functions with fewer than approximately 100 statements in the source file myprog.f and writes the executable code in the default output file a.out. $ pgf95 -Minline=size:100 myprog.f Related options: –o, –Mextract Syntax: Description and Related Options –M[no]autoinline instructs the compiler to inline a C/C++ function at –O2 and above when it is declared with the inline keyword. –Mextract[=option[,option,...]] Extracts functions from the file indicated on the command line and creates or appends to the specified extract directory where option can be any of: name:func instructs the extractor to extract function func from the file. size:number instructs the extractor to extract functions with number or fewer, statements from the file.Chapter 15. Command-Line Options Reference 229 lib:filename.ext Use directory filename.ext as the extract directory (required in order to save and re-use inline libraries). If you specify both name and size, the compiler extracts functions that match func, or that have number or fewer statements. For examples of extracting functions, see Chapter 4, “Using Function Inlining”. –Minline[=option[,option,...]] This passes options to the function inliner, where the option can be any of these: except:func instructs the inliner to inline all eligible functions except func, a function in the source text. Multiple functions can be listed, comma-separated. [name:]func instructs the inliner to inline the function func. The func name should be a non-numeric string that does not contain a period. You can also use a name: prefix followed by the function name. If name: is specified, what follows is always the name of a function. [lib:]filename.ext instructs the inliner to inline the functions within the library file filename.ext. The compiler assumes that a filename.ext option containing a period is a library file. Create the library file using the –Mextract option. You can also use a lib: prefix followed by the library name. If lib: is specified, no period is necessary in the library name. Functions from the specified library are inlined. If no library is specified, functions are extracted from a temporary library created during an extract prepass. levels:number instructs the inliner to perform number levels of inlining. The default number is 1. [no]reshape instructs the inliner to allow (disallow)inlining in Fortran even when array shapes do not match. The default is -Minline=noreshape, except with -Mconcur or -mp, where the default is -Minline=reshape. [size:]number instructs the inliner to inline functions with number or fewer statements. You can also use a size: prefix followed by a number. If size: is specified, what follows is always taken as a number. If you specify both func and number, the compiler inlines functions that match the function name or have number or fewer statements. For examples of inlining functions, refer to Chapter 4, “Using Function Inlining”. –M Optimization Controls This section describes the –M options that control optimization. Before looking at all the options, let’s look at the defaults. Default: For arguments that you do not specify, the default optimization control options are as follows: depchk noipa nounroll nor8 i4 nolre novect nor8intrinsics nofprelaxed noprefetchPGI® User’s Guide 230 Note If you do not supply an option to –Mvect, the compiler uses defaults that are dependent upon the target system. Usage: In this example, the compiler invokes the vectorizer with use of packed SSE instructions enabled. $ pgf95 -Mvect=sse -Mcache_align myprog.f Related options: –g, –O Syntax: Description and Related Options –Mcache_align Align unconstrained objects of length greater than or equal to 16 bytes on cache-line boundaries. An unconstrained object is a data object that is not a member of an aggregate structure or common block. This option does not affect the alignment of allocatable or automatic arrays. Note: To effect cache-line alignment of stack-based local variables, the main program or function must be compiled with –Mcache_align. –Mconcur[=option [,option,...]] Instructs the compiler to enable auto-concurrentization of loops. If –Mconcur is specified, multiple processors will be used to execute loops that the compiler determines to be parallelizable. Where option is one of the following: [no]altcode:n Instructs the parallelizer to generate alternate serial code for parallelized loops. If altcode is specified without arguments, the parallelizer determines an appropriate cutoff length and generates serial code to be executed whenever the loop count is less than or equal to that length. If altcode:n is specified, the serial altcode is executed whenever the loop count is less than or equal to n. If noaltcode is specified, the parallelized version of the loop is always executed regardless of the loop count. cncall Calls in parallel loops are safe to parallelize. Loops containing calls are candidates for parallelization. Also, no minimum loop count threshold must be satisfied before parallelization will occur, and last values of scalars are assumed to be safe. dist:block Parallelize with block distribution (this is the default). Contiguous blocks of iterations of a parallelizable loop are assigned to the available processors. dist:cyclic Parallelize with cyclic distribution. The outermost parallelizable loop in any loop nest is parallelized. If a parallelized loop is innermost, its iterations are allocated to processors cyclically. For example, if there are 3 processors executing a loop, processor 0 performs iterations 0, 3, 6, etc.; processor 1 performs iterations 1, 4, 7, etc.; and processor 2 performs iterations 2, 5, 8, etc. [no]innermost Enable parallelization of innermost loops. The default is to not parallelize innermost loops, since it is usually not profitable on dual-core processors.Chapter 15. Command-Line Options Reference 231 noassoc Disables parallelization of loops with reductions. When linking, the –Mconcur switch must be specified or unresolved references will result. The NCPUS environment variable controls how many processors or cores are used to execute parallelized loops. Note This option applies only on shared-memory multi-processor (SMP) or multi-core processorbased systems. –Mcray[=option[,option,...]] (pgf77 and pgf95 only) Force Cray Fortran (CF77) compatibility with respect to the listed options. Possible values of option include: pointer for purposes of optimization, it is assumed that pointer-based variables do not overlay the storage of any other variable. –Mdepchk instructs the compiler to assume unresolved data dependencies actually conflict. –Mnodepchk instructs the compiler to assume potential data dependencies do not conflict. However, if data dependencies exist, this option can produce incorrect code. –Mdse Enables a dead store elimination phase that is useful for programs that rely on extensive use of inline function calls for performance. This is disabled by default. –Mnodse (default) Disables the dead store elimination phase. –M[no]fpapprox[=option] Perform certain fp operations using low-precision approximation. By default -Mfpapprox is not used. If -Mfpapprox is used without suboptions, it defaults to use approximate div, sqrt, and rsqrt. The available suboptions are these: div Approximate floating point division sqrt Approximate floating point square root rsqrt Approximate floating point reciprocal square root –M[no]fpmisalign Instructs the compiler to allow (not allow) vector arithmetic instructions with memory operands that are not aligned on 16-byte boundaries. The default is -Mnofpmisalign on all processors.PGI® User’s Guide 232 Note Applicable only with one of these options: –tp barcelona or –tp barcelona-64 –Mfprelaxed[=option] instructs the compiler to use relaxed precision in the calculation of some intrinsic functions. Can result in improved performance at the expense of numerical accuracy. The possible values for option are: div Perform divide using relaxed precision. noorder Perform reciprocal square root (1/sqrt) using relaxed precision. order Perform reciprocal square root (1/sqrt) using relaxed precision. rsqrt Perform reciprocal square root (1/sqrt) using relaxed precision. sqrt Perform square root with relaxed precision. With no options, –Mfprelaxed generates relaxed precision code for those operations that generate a significant performance improvement, depending on the target processor. –Mnofprelaxed (default) instructs the compiler not to use relaxed precision in the calculation of intrinsic functions. –Mi4 (pgf77 and pgf95 only) the compiler treats INTEGER variables as INTEGER*4. –Mipa=